English  |  正體中文  |  简体中文  |  Total items :2822924  
Visitors :  30074796    Online Users :  1164
Project Commissioned by the Ministry of Education
Project Executed by National Taiwan University Library
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
About TAIR

Browse By

News

Copyright

Related Links

"chung ping chen"

Return to Browse by Author
Sorting by Title Sort by Date

Showing items 26-75 of 112  (3 Page(s) Totally)
1 2 3 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2020-06-11T06:45:56Z High-accuracy waveguide leaky-mode analysis using a multidomain pseudospectral frequency-domain method incorporated with stretched coordinate PML Wang, C.-Y.;Liu, H.-H.;Chung, S.-Y.;Teng, C.-H.;Chen, C.-P.;Chang, H.-C.; Wang, C.-Y.; Liu, H.-H.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:55Z The compatibility analysis of thread migration and DVFS in multi-core processor Oh, D.;Chen, C.C.P.;Kim, N.;Hu, Y.H.; Oh, D.; Chen, C.C.P.; Kim, N.; Hu, Y.H.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:55Z Interconnect delay and slew metrics using the extreme value distribution Zeng, J.-K.;Chen, C.-P.; Zeng, J.-K.; Chen, C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:54Z A fast-settling high linearity auto gain control for broadband OFDM-based PLC system Wu, K.-I.;Hung, S.-Y.;Hung, S.-H.;Chen, C.C.-P.; Wu, K.-I.; Hung, S.-Y.; Hung, S.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z A 10-bit current-steering DAC for HomePlug AV2 powerline communication system in 90nm CMOS Cheng, W.-S.;Hsieh, M.-H.;Hung, S.-H.;Hung, S.-Y.;Chen, C.C.-P.; Cheng, W.-S.; Hsieh, M.-H.; Hung, S.-H.; Hung, S.-Y.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z A high dynamic range programmable gain amplifier for HomePlug AV powerline communication system Hung, S.-Y.;Chan, K.-H.;Chen, C.C.-P.; Hung, S.-Y.; Chan, K.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z Current-mode adaptively hysteretic control for buck converters with fast transient response and improved output regulation Wu, K.-I.;Hung, S.-H.;Shieh, S.-Y.;Hwang, B.-T.;Hung, S.-Y.;Chen, C.C.-P.; Wu, K.-I.; Hung, S.-H.; Shieh, S.-Y.; Hwang, B.-T.; Hung, S.-Y.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z A 8.1/5.4/2.7/1.62 Gb/s receiver for DisplayPort Version 1.3 with automatic bit-rate tracking scheme Chien, A.;Hung, S.-H.;Wu, K.-I.;Liu, C.-Y.;Hsieh, M.-H.;Chen, C.C.-P.; Chien, A.; Hung, S.-H.; Wu, K.-I.; Liu, C.-Y.; Hsieh, M.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z A 160MHz-to-2GHz low jitter fast lock all-digital DLL with phase tracking technique Hung, S.-H.;Kao, W.-H.;Wu, K.-I.;Huang, Y.-W.;Hsieh, M.-H.;Chen, C.C.-P.; Hung, S.-H.; Kao, W.-H.; Wu, K.-I.; Huang, Y.-W.; Hsieh, M.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:52Z A 1.2V 6.4GHz 181ps 64-bit CD domino adder with DLL measurement technique Wang, Y.-S.;Hsieh, M.-H.;Liu, C.-M.;Wu, Y.-C.;Lin, B.-F.;Chiu, H.-C.;Chen, C.C.-P.; Wang, Y.-S.; Hsieh, M.-H.; Liu, C.-M.; Wu, Y.-C.; Lin, B.-F.; Chiu, H.-C.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:52Z A 12 Gb/s chip-to-chip AC coupled transceiver Wang, Y.-S.;Hsieh, M.-H.;Wu, Y.-C.;Liu, C.-M.;Chiu, H.-C.;Lin, B.-F.;Chen, C.C.-P.; Wang, Y.-S.; Hsieh, M.-H.; Wu, Y.-C.; Liu, C.-M.; Chiu, H.-C.; Lin, B.-F.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:52Z A 52 dBc MTPR line driver for powerline communication HomePlug AV standard in 0.18-μm CMOS technology Liu, P.-K.;Hung, S.-Y.;Liu, C.-Y.;Hsieh, M.-H.;Chen, C.C.-P.; Liu, P.-K.; Hung, S.-Y.; Liu, C.-Y.; Hsieh, M.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:51Z An efficient multi-touch tracking algorithm with a large number of points Huang, S.-L.;Hung, S.-Y.;Chen, C.C.-P.;Tsao, C.-H.;Chang, N.-W.; Huang, S.-L.; Hung, S.-Y.; Chen, C.C.-P.; Tsao, C.-H.; Chang, N.-W.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:51Z Modelling plasmonic waveguide resonators using pseudospectral methods Chung, S.-Y.;Wang, C.-Y.;Teng, C.-H.;Chen, C.-P.;Chang, H.-C.; Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:51Z GOP-level parallelization of the H.264 decoder without a start-code scanner G?rhanli, A.;Chen, C.C.-P.;Hung, S.-H.; G?rhanli, A.; Chen, C.C.-P.; Hung, S.-H.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:51Z A Real Time EEG Analysis System for the Prediction of Clinical Antidepressant Responses Hung, S.-H.;Wu, I.;Li, Y.-C.;Li, C.-T.;Chen, C.C.-P.; Hung, S.-H.; Wu, I.; Li, Y.-C.; Li, C.-T.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:50Z Cost-efficient hardware implementation of stereo image depth optimization system Yu, C.-C.;Cheng, C.-H.;Lin, P.-C.;Chen, C.C.-P.; Yu, C.-C.; Cheng, C.-H.; Lin, P.-C.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:49Z An at-speed self-testable technique for the high speed domino adder Wang, Y.-S.;Hsieh, M.-H.;Liu, C.-M.;Liu, C.-W.;Li, J.C.-M.;Chen, C.C.-P.; Wang, Y.-S.; Hsieh, M.-H.; Liu, C.-M.; Liu, C.-W.; Li, J.C.-M.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:48Z Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors Oh, D.;Kim, N.S.;Chen, C.C.P.;Davoodi, A.;Hu, Y.H.; Oh, D.; Kim, N.S.; Chen, C.C.P.; Davoodi, A.; Hu, Y.H.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:48Z Epileptic seizure detection for multichannel EEG signals with support vector machines Shen, C.-P.;Chan, C.-M.;Lin, F.-S.;Chiu, M.-J.;Lin, J.-W.;Kao, J.-H.;Chen, C.-P.;Lai, F.; Shen, C.-P.; Chan, C.-M.; Lin, F.-S.; Chiu, M.-J.; Lin, J.-W.; Kao, J.-H.; Chen, C.-P.; Lai, F.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:47Z A multidomain legendre pseudospectral frequency-domain method with penalty scheme for solving Maxwell's equations Wang, C.-Y.;Chung, S.-Y.;Teng, C.-H.;Chen, C.-P.;Chang, H.-C.; Wang, C.-Y.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:47Z Electromagnetic simulations of 2D optical microring resonators using the multidomain legendre pseudospectral time-domain method Chung, S.-Y.;Wang, C.-Y.;Teng, C.-H.;Chen, C.-P.;Chang, H.-C.; Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:47Z An optimal algorithm for sizing sequential circuits for industrial library based designs Roy, S.;Hu, Y.H.;Chen, C.C.-P.;Hung, S.-P.;Chiang, T.-Y.;Tseng, J.-G.; Roy, S.; Hu, Y.H.; Chen, C.C.-P.; Hung, S.-P.; Chiang, T.-Y.; Tseng, J.-G.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:46Z Efficient and accurate optical scatterometry diagnosis of grating variation based on segmented moment matching and singular value decomposition method Liu, J.-H.;Liu, C.-W.;Huang, K.-J.;Li, T.-Y.;Chiu, M.-C.;Hong, J.-J.;Chen, C.C.-P.;Jao, C.-S.;Wang, L.; Liu, J.-H.; Liu, C.-W.; Huang, K.-J.; Li, T.-Y.; Chiu, M.-C.; Hong, J.-J.; Chen, C.C.-P.; Jao, C.-S.; Wang, L.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:46Z Is categorization of random data necessary for parallel analysis on Likert-type data? Weng, Li-Jen;Cheng, Chung-Ping; Weng, Li-Jen; Cheng, Chung-Ping; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:46Z Frequency hopping and parallel driving with random delay especially suitable for the charger noise problem in mutual-capacitive touch applications Huang, S.-L.;Hung, S.-Y.;Chen, C.-P.; Huang, S.-L.; Hung, S.-Y.; Chen, C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:45Z A significant multi-touch algorithm for the tracking problem based on the Hungarian algorithm Huang, S.-L.;Chen, C.C.-P.; Huang, S.-L.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2019-10-17T00:28:48Z Musical Tension over Time: Listeners’ Physiological Responses to the ‘Retransition’ in Classical Sonata Form CHUNG-PING CHEN;CHEN-GIA TSAI; CHEN-GIA TSAI; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T08:16:34Z Arachidin-1, a peanut stilbenoid, induces programmed cell death in human leukemia HL-60 cells Huang, C.-P.;Au, L.-C.;Chiou, R.Y.-Y.;Chung, P.-C.;Chen, S.-Y.;Tang, W.-C.;Chang, C.-L.;Fang, W.-H.;Lin, S.-B.; Huang, C.-P.; Au, L.-C.; Chiou, R.Y.-Y.; Chung, P.-C.; Chen, S.-Y.; Tang, W.-C.; Chang, C.-L.; Fang, W.-H.; Lin, S.-B.; WOEI-HORNG FANG; CHUNG, PING-CHEN
臺大學術典藏 2018-09-10T07:43:09Z Spec-based Repeater Insertion and Wire-Sizing for On-chip Interconnect N. Menezes; Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T07:43:08Z Fast and Exact Simultaneous Gate and Wire Sizing by Lagrangian Relaxation Chung-Ping Chen; Chris C. N. Chu; D. F. Wong; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T07:43:08Z Noise-Aware Repeater Insertion and Wire-Sizing for On-chip Interconnect Using Hierarchical Moment-Matching Chung-Ping Chen; N. Menezes; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T07:43:08Z Error-bounded Pade Approximation via Bilinear Conformal Transformation Chung-Ping Chen; D.F. Wong; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:25Z Statistical Timing Analysis Driven Post-Silicon-Tunable Clock-Tree Synthesis Jeng-Liang Tsai; Lizheng Zhang; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:25Z ConvexFit: An Optimal Minimum-Error Convex Fitting and Smoothing Algorithm with Application to Gate-Sizing Sanghamitra Roy; Weijen Chen; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:25Z Efficient Statistical Capacitance Variability Modeling with Orthogonal Principle Factor Analysis Rong Jiang,; Wenyin Fu,; Janet Meiling Wang,; Charlie Chung-Ping Chen,; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:25Z Linear Time Capacitance Extraction based on Implicit Congruence Transformation Rong Jiang; Yu-hao Wang; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:24Z Substrate-Bias Optimized 0.18um 2.5 GHz 32-bit adder with Post-Manufacture Tunable Clock Qi-Wei Kuo; Vikas Sharma; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:24Z 1-V 7-mW Dual-Band Fast-Locked Frequency Synthesizer CHUNG-PING CHEN; Vikas Sharma,; Chien-Liang Chen; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:24Z ICCAP: A Linear Time Sparse Transformation and Reordering Algorithm for 3D BEM Capacitance Extraction Rong Jiang; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:24Z Correlation-Preserved Non-Gaussian Statistical Timing Analysis with Quadratic Timing Model Lizheng Zhang; Weijen Chen; Yuhen Hu; John A. Gubner; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:23Z Wave-Pipelined On-Chip Global Interconnect Lizheng Zhang; Yu-Hen Hu; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:23Z Comprehensive Frequency Dependent Interconnect Extraction and Evaluction Methodology CHUNG-PING CHEN; Charlie Chung-Ping Chen; Rong Jiang
臺大學術典藏 2018-09-10T05:29:23Z Fast and Effective Gate-Sizing with Multiple-Vt Assignment using Generalized Lagrangian Relaxation Hsinwei Chou; Yu-Hao Wang; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:23Z Statistical Timing Analysis with Extended Pseudo-Canonical Timing Model Lizheng Zhang; Weijen Chen; Yu-Hen Hu; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:22Z EPEEC: A Compact Eddy-Current-Aware Reluctance-Based Macromodel for High-Speed Interconnects above Lossy Multilayer Substrate Rong Jiang,; Wenyin Fu,; Charlie Chung-Ping Chen,; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:22Z Statistical Static Timing Analysis with Conditional Linear MAX/MIN Approximation and Extended Canonical Model Lizheng Zhang; Weijen Chen; Yuhen Hu; Charlie Chungping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T05:29:22Z False Path and Clock Scheduling Based Yield-Aware Gate Sizing Jeng-Liang Tsai; DongHyun Baik; Charlie Chung-Ping Chen; Kewal K. Saluja; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T04:59:54Z Statistical Timing Analysis with AMECT: Asymptotic MAX/MIN Approximation and Extended Canonical Timing Model Lizheng Zhang; Yu-Hen Hu; Charlie Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T04:59:54Z A ROBDD-Based Generalized Nodal Control Scheme for Standby Leakage Power Reduction Hsinwei Chou; Charlie Chung-Ping Chen; CHUNG-PING CHEN

Showing items 26-75 of 112  (3 Page(s) Totally)
1 2 3 > >>
View [10|25|50] records per page