English  |  正體中文  |  简体中文  |  2818629  
???header.visitor??? :  28123114    ???header.onlineuser??? :  1061
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"chung ping chen"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 1-50 of 112  (3 Page(s) Totally)
1 2 3 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2021-09-02T00:04:37Z Intelligent Design Automation for 2.5/3D Heterogeneous SoC Integration Jiang I.H.-R;Chang Y.-W;Huang J.-L;Chen C.-P.; Jiang I.H.-R; Chang Y.-W; Huang J.-L; Chen C.-P.; CHUNG-PING CHEN
臺大學術典藏 2021-09-02T00:04:37Z Opportunities for 2.5/3D Heterogeneous SoC Integration Jiang I.H.-R;Chang Y.-W;Huang J.-L;Chen C.-P.; Jiang I.H.-R; Chang Y.-W; Huang J.-L; Chen C.-P.; CHUNG-PING CHEN
臺大學術典藏 2021-09-02T00:04:36Z A Quasi-V2 Hysteretic Buck Converter with Adaptive COT Control for Fast DVS and Load-Transient Response in RF Applications Ting C.-Y;Lin J.-Y;Chen C.C.-P.; Ting C.-Y; Lin J.-Y; Chen C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2021-09-02T00:04:36Z Electromechanical coupling of botanic cells: Theory and applications Chen C.C;Shih W.P.; Chen C.C; Shih W.P.; CHUNG-PING CHEN
臺大學術典藏 2021-09-02T00:04:36Z An accurate bladder volume measurement algorithm via multi-dimensional image and spatial-information using point-of-care ultrasound only Chen P.-X;Chen C.-P.; Chen P.-X; Chen C.-P.; CHUNG-PING CHEN
臺大學術典藏 2021-07-15T05:32:57Z Opportunities for 2.5/3D Heterogeneous SoC Integration HUI-RU JIANG; YAO-WEN CHANG; JIUN-LANG HUANG; CHUNG-PING CHEN
臺大學術典藏 2021-03-26T08:24:45Z An inductor current balancing technique for FLDLL based four-phase buck converter with transient-modulated constant on-time control for load transient response Ting, C.-Y.; Ko, C.-C.; Lin, J.-Y.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2021-03-26T08:24:45Z Subjective Interpupillary Distance of Measurement Technique Liao, J.-Y.; Chen, D.-C.; Chang, S.-T.; Chen, C.-P.; Wu, C.-H.; Hsu, C.-K.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:04Z Area-saving and high-efficiency rgb led driver with adaptive driving voltage and energy-saving technique Hsu, Y.-C.;Lin, J.-Y.;Chen, C.C.-P.; Hsu, Y.-C.; Lin, J.-Y.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:03Z Automatic mura detection based on thresholding the fused normalized first and second derivatives in four directions Jamleh, H.;Li, T.-Y.;Wang, S.-Z.;Chen, C.-W.;Kuo, C.-C.;Wang, K.-S.;Chen, C.C.-P.; Jamleh, H.; Li, T.-Y.; Wang, S.-Z.; Chen, C.-W.; Kuo, C.-C.; Wang, K.-S.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:03Z A mathematical method for VLSI thermal simulation at the system and circuit levels Oh, D.;Chen, C.C.P.;Hu, Y.H.; Oh, D.; Chen, C.C.P.; Hu, Y.H.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:02Z Abbe-PCA-SMO: Microlithography source and mask optimization based on Abbe-PCA Chang, S.-J.;Chen, C.C.P.;Melvin III, L.S.; Chang, S.-J.; Chen, C.C.P.; Melvin III, L.S.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:02Z Abbe-PCA-SMO: Microlithography source and mask optimization based on Abbe-PCA Chang, J.H.-C.;Chen, C.C.-P.;Melvin, L.S.; Chang, J.H.-C.; Chen, C.C.-P.; Melvin, L.S.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:02Z Hierarchical kernel generation for SMO application Chang, J.H.-C.;Chen, C.C.-P.;Melvin III, L.S.; Chang, J.H.-C.; Chen, C.C.-P.; Melvin III, L.S.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:02Z High speed and flexible PEB 3D diffusion simulation based on Sylvester equation Lin, P.-C.;Chen, C.C.-P.; Lin, P.-C.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:02Z Abbe-PCA (Abbe-Hopkins): Microlithography aerial image analytical compact kernel generation based on principle component analysis Tsai, M.-F.;Chang, S.-J.;Chen, C.C.P.;Melvin III, L.S.; Tsai, M.-F.; Chang, S.-J.; Chen, C.C.P.; Melvin III, L.S.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:01Z Provably all-convex optimal minimum-error convex fitting algorithm using linear programming Li, T.-Y.;Chang, J.H.-C.;Hung, S.-P.;Chen, C.C.-P.; Li, T.-Y.; Chang, J.H.-C.; Hung, S.-P.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:01Z A -194 dBc/Hz FOM interactive current-reused QVCO (ICR-QVCO) with capacitor-coupling self-switching sinusoidal current biasing (CSSCB) phase noise reduction technique Wu, K.-I.;Shen, I.-S.;Jou, C.F.;Chen, C.C.-P.; Wu, K.-I.; Shen, I.-S.; Jou, C.F.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:01Z Efficient three-dimensional resist profile-driven source mask optimization optical proximity correction based on Abbe-principal component analysis and Sylvester equation CHUNG-PING CHEN; Chen, C.C.-P.; Yu, C.-C.; Lin, P.-C.;Yu, C.-C.;Chen, C.C.-P.; Lin, P.-C.
臺大學術典藏 2020-06-11T06:46:00Z A transient enhancement DC-DC buck converter with dual operating modes control technique Hsu, Y.-C.;Ting, C.-Y.;Hsu, L.-S.;Lin, J.-Y.;Chen, C.C.-P.; Hsu, Y.-C.; Ting, C.-Y.; Hsu, L.-S.; Lin, J.-Y.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:46:00Z A Legendre pseudospectral frequency-domain method for solving Maxwell's equations Wang, C.-Y.;Chung, S.-Y.;Teng, C.-H.;Chen, C.-P.;Chang, H.-C.; Wang, C.-Y.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:59Z Accurate and analytical statistical spatial correlation modeling based on singular value decomposition for VLSI DFM applications Liu, J.-H.;Tsai, M.-F.;Chen, L.;Chen, C.C.-P.; Liu, J.-H.; Tsai, M.-F.; Chen, L.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:58Z LTCC spiral inductor synthesis and optimization with measurement verification Lu, H.-C.;Chan, T.B.;Chen, C.C.-P.;Liu, C.-M.;Hsing, H.-J.;Huang, P.-S.; Lu, H.-C.; Chan, T.B.; Chen, C.C.-P.; Liu, C.-M.; Hsing, H.-J.; Huang, P.-S.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:58Z Hardware accelerated aerial image simulation by FPGA Jamleh, H.;Chung-Ping Chen, C.; Jamleh, H.; Chung-Ping Chen, C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:56Z A high-accuracy multidomain legendre pseudospectral frequency-domain method with penalty scheme for solving scattering and coupling problems of nano-cylinders Wang, C.-Y.;Chung, S.-Y.;Teng, C.-H.;Wang, J.-K.;Chen, C.-P.;Chang, H.-C.; Wang, C.-Y.; Chung, S.-Y.; Teng, C.-H.; Wang, J.-K.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:56Z High-accuracy waveguide leaky-mode analysis using a multidomain pseudospectral frequency-domain method incorporated with stretched coordinate PML Wang, C.-Y.;Liu, H.-H.;Chung, S.-Y.;Teng, C.-H.;Chen, C.-P.;Chang, H.-C.; Wang, C.-Y.; Liu, H.-H.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:55Z The compatibility analysis of thread migration and DVFS in multi-core processor Oh, D.;Chen, C.C.P.;Kim, N.;Hu, Y.H.; Oh, D.; Chen, C.C.P.; Kim, N.; Hu, Y.H.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:55Z Interconnect delay and slew metrics using the extreme value distribution Zeng, J.-K.;Chen, C.-P.; Zeng, J.-K.; Chen, C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:54Z A fast-settling high linearity auto gain control for broadband OFDM-based PLC system Wu, K.-I.;Hung, S.-Y.;Hung, S.-H.;Chen, C.C.-P.; Wu, K.-I.; Hung, S.-Y.; Hung, S.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z A 10-bit current-steering DAC for HomePlug AV2 powerline communication system in 90nm CMOS Cheng, W.-S.;Hsieh, M.-H.;Hung, S.-H.;Hung, S.-Y.;Chen, C.C.-P.; Cheng, W.-S.; Hsieh, M.-H.; Hung, S.-H.; Hung, S.-Y.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z A high dynamic range programmable gain amplifier for HomePlug AV powerline communication system Hung, S.-Y.;Chan, K.-H.;Chen, C.C.-P.; Hung, S.-Y.; Chan, K.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z Current-mode adaptively hysteretic control for buck converters with fast transient response and improved output regulation Wu, K.-I.;Hung, S.-H.;Shieh, S.-Y.;Hwang, B.-T.;Hung, S.-Y.;Chen, C.C.-P.; Wu, K.-I.; Hung, S.-H.; Shieh, S.-Y.; Hwang, B.-T.; Hung, S.-Y.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z A 8.1/5.4/2.7/1.62 Gb/s receiver for DisplayPort Version 1.3 with automatic bit-rate tracking scheme Chien, A.;Hung, S.-H.;Wu, K.-I.;Liu, C.-Y.;Hsieh, M.-H.;Chen, C.C.-P.; Chien, A.; Hung, S.-H.; Wu, K.-I.; Liu, C.-Y.; Hsieh, M.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:53Z A 160MHz-to-2GHz low jitter fast lock all-digital DLL with phase tracking technique Hung, S.-H.;Kao, W.-H.;Wu, K.-I.;Huang, Y.-W.;Hsieh, M.-H.;Chen, C.C.-P.; Hung, S.-H.; Kao, W.-H.; Wu, K.-I.; Huang, Y.-W.; Hsieh, M.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:52Z A 1.2V 6.4GHz 181ps 64-bit CD domino adder with DLL measurement technique Wang, Y.-S.;Hsieh, M.-H.;Liu, C.-M.;Wu, Y.-C.;Lin, B.-F.;Chiu, H.-C.;Chen, C.C.-P.; Wang, Y.-S.; Hsieh, M.-H.; Liu, C.-M.; Wu, Y.-C.; Lin, B.-F.; Chiu, H.-C.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:52Z A 12 Gb/s chip-to-chip AC coupled transceiver Wang, Y.-S.;Hsieh, M.-H.;Wu, Y.-C.;Liu, C.-M.;Chiu, H.-C.;Lin, B.-F.;Chen, C.C.-P.; Wang, Y.-S.; Hsieh, M.-H.; Wu, Y.-C.; Liu, C.-M.; Chiu, H.-C.; Lin, B.-F.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:52Z A 52 dBc MTPR line driver for powerline communication HomePlug AV standard in 0.18-μm CMOS technology Liu, P.-K.;Hung, S.-Y.;Liu, C.-Y.;Hsieh, M.-H.;Chen, C.C.-P.; Liu, P.-K.; Hung, S.-Y.; Liu, C.-Y.; Hsieh, M.-H.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:51Z An efficient multi-touch tracking algorithm with a large number of points Huang, S.-L.;Hung, S.-Y.;Chen, C.C.-P.;Tsao, C.-H.;Chang, N.-W.; Huang, S.-L.; Hung, S.-Y.; Chen, C.C.-P.; Tsao, C.-H.; Chang, N.-W.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:51Z Modelling plasmonic waveguide resonators using pseudospectral methods Chung, S.-Y.;Wang, C.-Y.;Teng, C.-H.;Chen, C.-P.;Chang, H.-C.; Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:51Z GOP-level parallelization of the H.264 decoder without a start-code scanner G?rhanli, A.;Chen, C.C.-P.;Hung, S.-H.; G?rhanli, A.; Chen, C.C.-P.; Hung, S.-H.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:51Z A Real Time EEG Analysis System for the Prediction of Clinical Antidepressant Responses Hung, S.-H.;Wu, I.;Li, Y.-C.;Li, C.-T.;Chen, C.C.-P.; Hung, S.-H.; Wu, I.; Li, Y.-C.; Li, C.-T.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:50Z Cost-efficient hardware implementation of stereo image depth optimization system Yu, C.-C.;Cheng, C.-H.;Lin, P.-C.;Chen, C.C.-P.; Yu, C.-C.; Cheng, C.-H.; Lin, P.-C.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:49Z An at-speed self-testable technique for the high speed domino adder Wang, Y.-S.;Hsieh, M.-H.;Liu, C.-M.;Liu, C.-W.;Li, J.C.-M.;Chen, C.C.-P.; Wang, Y.-S.; Hsieh, M.-H.; Liu, C.-M.; Liu, C.-W.; Li, J.C.-M.; Chen, C.C.-P.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:48Z Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors Oh, D.;Kim, N.S.;Chen, C.C.P.;Davoodi, A.;Hu, Y.H.; Oh, D.; Kim, N.S.; Chen, C.C.P.; Davoodi, A.; Hu, Y.H.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:48Z Epileptic seizure detection for multichannel EEG signals with support vector machines Shen, C.-P.;Chan, C.-M.;Lin, F.-S.;Chiu, M.-J.;Lin, J.-W.;Kao, J.-H.;Chen, C.-P.;Lai, F.; Shen, C.-P.; Chan, C.-M.; Lin, F.-S.; Chiu, M.-J.; Lin, J.-W.; Kao, J.-H.; Chen, C.-P.; Lai, F.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:47Z A multidomain legendre pseudospectral frequency-domain method with penalty scheme for solving Maxwell's equations Wang, C.-Y.;Chung, S.-Y.;Teng, C.-H.;Chen, C.-P.;Chang, H.-C.; Wang, C.-Y.; Chung, S.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:47Z Electromagnetic simulations of 2D optical microring resonators using the multidomain legendre pseudospectral time-domain method Chung, S.-Y.;Wang, C.-Y.;Teng, C.-H.;Chen, C.-P.;Chang, H.-C.; Chung, S.-Y.; Wang, C.-Y.; Teng, C.-H.; Chen, C.-P.; Chang, H.-C.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:47Z An optimal algorithm for sizing sequential circuits for industrial library based designs Roy, S.;Hu, Y.H.;Chen, C.C.-P.;Hung, S.-P.;Chiang, T.-Y.;Tseng, J.-G.; Roy, S.; Hu, Y.H.; Chen, C.C.-P.; Hung, S.-P.; Chiang, T.-Y.; Tseng, J.-G.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:46Z Efficient and accurate optical scatterometry diagnosis of grating variation based on segmented moment matching and singular value decomposition method Liu, J.-H.;Liu, C.-W.;Huang, K.-J.;Li, T.-Y.;Chiu, M.-C.;Hong, J.-J.;Chen, C.C.-P.;Jao, C.-S.;Wang, L.; Liu, J.-H.; Liu, C.-W.; Huang, K.-J.; Li, T.-Y.; Chiu, M.-C.; Hong, J.-J.; Chen, C.C.-P.; Jao, C.-S.; Wang, L.; CHUNG-PING CHEN
臺大學術典藏 2020-06-11T06:45:46Z Is categorization of random data necessary for parallel analysis on Likert-type data? Weng, Li-Jen;Cheng, Chung-Ping; Weng, Li-Jen; Cheng, Chung-Ping; CHUNG-PING CHEN

Showing items 1-50 of 112  (3 Page(s) Totally)
1 2 3 > >>
View [10|25|50] records per page