English  |  正體中文  |  简体中文  |  Total items :2815037  
Visitors :  27359986    Online Users :  594
Project Commissioned by the Ministry of Education
Project Executed by National Taiwan University Library
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
About TAIR

Browse By

News

Copyright

Related Links

"hoi tou ng"

Return to Browse by Author
Sorting by Title Sort by Date

Showing items 1-24 of 24  (1 Page(s) Totally)
1 
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2022-01-03T08:01:20Z Simulation and fabrication results of electron optical systems for massively parallel maskless lithography Hoi-Tou Ng; Chien-Chieh Huang; Hsing-Hong Chen; Shin-Chuan Chen; Ken-Hsien Hsieh; Kuen-Yu Tsai*;  Jia-Han Li; JIA-HAN LI
臺大學術典藏 2018-09-10T15:00:24Z Method and Apparatus For Designing Patterning Systems Considering Patterning Fidelity (基於圖案製作真確度之圖案製作系統設計方法與裝置) Kuen-Yu Tsai;Sheng-Yung Chen;Hoi-Tou Ng;Shiau-Yi Ma; Kuen-Yu Tsai; Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:00:24Z Method and Apparatus For Designing Patterning Systems Considering Patterning Fidelity (基於圖案製作真確度之圖案製作系統設計方法與裝置) Kuen-Yu Tsai;Sheng-Yung Chen;Hoi-Tou Ng;Shiau-Yi Ma; Kuen-Yu Tsai; Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:34Z Method and Apparatus for Designing Patterning System Based on Patterning Fidelity Kuen-Yu Tsai;Sheng-Yung Chen;Hoi-Tou Ng;Shiau-Yi Ma; Kuen-Yu Tsai; Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:34Z Method and Apparatus for Designing Patterning System Based on Patterning Fidelity Kuen-Yu Tsai;Sheng-Yung Chen;Hoi-Tou Ng;Shiau-Yi Ma; Kuen-Yu Tsai; Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z New method of optimizing writing parameters in electron beam lithography systems for throughput improvement considering patterning fidelity constraints Hoi-Tou Ng;Yu-Tian Shen;Sheng-Yung Chen;Chun-Hung Liu;Philip C. W. Ng;Kuen-Yu Tsai; Hoi-Tou Ng; Yu-Tian Shen; Sheng-Yung Chen; Chun-Hung Liu; Philip C. W. Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z New method of optimizing writing parameters in electron beam lithography systems for throughput improvement considering patterning fidelity constraints Hoi-Tou Ng;Yu-Tian Shen;Sheng-Yung Chen;Chun-Hung Liu;Philip C. W. Ng;Kuen-Yu Tsai; Hoi-Tou Ng; Yu-Tian Shen; Sheng-Yung Chen; Chun-Hung Liu; Philip C. W. Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:24:59Z New parametric point spread function calibration methodology for improving the accuracy of patterning prediction in electron-beam lithography Chun-Hung Liu;Hoi-Tou Ng;Kuen-Yu Tsai; Chun-Hung Liu; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:24:59Z New parametric point spread function calibration methodology for improving the accuracy of patterning prediction in electron-beam lithography Chun-Hung Liu;Hoi-Tou Ng;Kuen-Yu Tsai; Chun-Hung Liu; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:33Z Lithography-patterning-fidelity-aware electron-optical system design optimization Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; Hsing-Hong Chen; Chun-Hung Liu; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:33Z Lithography-patterning-fidelity-aware electron-optical system design optimization Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; Hsing-Hong Chen; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z Beam drift detection using a two-dimensional electron beam position monitor system for multiple-electron-beam–direct-write lithography Sheng-Yung Chen;Kuen-Yu Tsai;Hoi-Tou Ng;Chi-Hsiung Fan;Ting-Han Pei;Chieh-Hsiung Kuan;Yung-Yaw Chen;Yi-Hung Kuo;Cheng-Ju Wu;Jia-Yush Yen; Sheng-Yung Chen; Kuen-Yu Tsai; Hoi-Tou Ng; Chi-Hsiung Fan; Ting-Han Pei; Chieh-Hsiung Kuan; Yung-Yaw Chen; Yi-Hung Kuo; Cheng-Ju Wu; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z Beam drift detection using a two-dimensional electron beam position monitor system for multiple-electron-beam–direct-write lithography Sheng-Yung Chen;Kuen-Yu Tsai;Hoi-Tou Ng;Chi-Hsiung Fan;Ting-Han Pei;Chieh-Hsiung Kuan;Yung-Yaw Chen;Yi-Hung Kuo;Cheng-Ju Wu;Jia-Yush Yen; Sheng-Yung Chen; Kuen-Yu Tsai; Hoi-Tou Ng; Chi-Hsiung Fan; Ting-Han Pei; Chieh-Hsiung Kuan; Yung-Yaw Chen; Yi-Hung Kuo; Cheng-Ju Wu; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z Impacts of point spread function calibration methods on model-based proximity effect correction for electron-beam-direct-write lithography Chun-Hung Liu;Philip Ng;Yu-Tian Shen;Hoi-Tou Ng;Kuen-Yu Tsai; Chun-Hung Liu; Philip Ng; Yu-Tian Shen; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z Impacts of point spread function calibration methods on model-based proximity effect correction for electron-beam-direct-write lithography Chun-Hung Liu;Philip Ng;Yu-Tian Shen;Hoi-Tou Ng;Kuen-Yu Tsai; Chun-Hung Liu; Philip Ng; Yu-Tian Shen; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:07Z Determination of Gaussian beam and raster scan parameters in electron-beam-direct-write lithography considering device patterning and performance variability Hoi-Tou Ng;Chun-Hung Liu;Hsing-Hong Chen;Kuen-Yu Tsai; Hoi-Tou Ng; Chun-Hung Liu; Hsing-Hong Chen; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:07Z Determination of Gaussian beam and raster scan parameters in electron-beam-direct-write lithography considering device patterning and performance variability Hoi-Tou Ng;Chun-Hung Liu;Hsing-Hong Chen;Kuen-Yu Tsai; Hoi-Tou Ng; Chun-Hung Liu; Hsing-Hong Chen; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:06Z A new parametric proximity effect model calibration method for improving accuracy of post-lithography patterning prediction in sub-32-nm half-pitch low-voltage electron beam direct-write lithography Chun-Hung Liu;Hoi-Tou Ng;Kuen-Yu Tsai; Chun-Hung Liu; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:06Z A new parametric proximity effect model calibration method for improving accuracy of post-lithography patterning prediction in sub-32-nm half-pitch low-voltage electron beam direct-write lithography Chun-Hung Liu;Hoi-Tou Ng;Kuen-Yu Tsai; Chun-Hung Liu; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:05Z Selection of Gaussian-beam and raster-scan parameters in electron-beam direct-write lithography considering device patterning and performance variability Hsing-Hong Chen; Kuen-Yu Tsai; KUEN-YU TSAI; Chun-Hung Liu; Hoi-Tou Ng;Chun-Hung Liu;Hsing-Hong Chen;Kuen-Yu Tsai; Hoi-Tou Ng
臺大學術典藏 2018-09-10T07:42:05Z Selection of Gaussian-beam and raster-scan parameters in electron-beam direct-write lithography considering device patterning and performance variability Hsing-Hong Chen; Kuen-Yu Tsai; KUEN-YU TSAI; Chun-Hung Liu; Hoi-Tou Ng;Chun-Hung Liu;Hsing-Hong Chen;Kuen-Yu Tsai; Hoi-Tou Ng
臺大學術典藏 2018-09-10T07:08:40Z A novel curve-fitting procedure for determining proximity effect parameters in electron beam lithography Chun-Hung Liu;Hoi-Tou Ng;Philip C. W. Ng;Kuen-Yu Tsai;Shy-Jay Lin;Jeng-Horng Chen; Chun-Hung Liu; Hoi-Tou Ng; Philip C. W. Ng; Kuen-Yu Tsai; Shy-Jay Lin; Jeng-Horng Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:08:40Z A novel curve-fitting procedure for determining proximity effect parameters in electron beam lithography Chun-Hung Liu;Hoi-Tou Ng;Philip C. W. Ng;Kuen-Yu Tsai;Shy-Jay Lin;Jeng-Horng Chen; Chun-Hung Liu; Hoi-Tou Ng; Philip C. W. Ng; Kuen-Yu Tsai; Shy-Jay Lin; Jeng-Horng Chen; KUEN-YU TSAI
臺大學術典藏 2012-02 Electron-beam proximity effect model calibration for fabricating scatterometry calibration samples Chun-Hung Liu; Chih-Yu Chen; Hoi-Tou Ng; Kuen-Yu Tsai; Fu-Ming Wang; Chieh-Hsiung Kuan; Yen-Min Lee; Hsin-Hung Cheng; Jia-Han Li; Alek C. Chen; Yu-Tian Shen; KUEN-YU TSAI; CHIEH-HSIUNG KUAN et al.

Showing items 1-24 of 24  (1 Page(s) Totally)
1 
View [10|25|50] records per page