English  |  正體中文  |  简体中文  |  2818750  
???header.visitor??? :  28372393    ???header.onlineuser??? :  569
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"huang po tsang"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 1-50 of 77  (2 Page(s) Totally)
1 2 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2021-07-06T02:00:24Z Matrix Metalloprotease-7 Mediates Nucleolar Assembly and Intra-nucleolar Cleaving p53 in Gefitinib-Resistant Cancer Stem Cells Yu, Wei-Hsuan; Wu, Erxi; Li, Yongqing; HSIN-HAN HOU; Yu, Shuan-Su C; Huang, Po-Tsang; Kuo, Wen-Hung; Qi, Dan; Yu, Chong-Jen
臺大學術典藏 2021-03-10T06:39:30Z Matrix Metalloprotease-7 Mediates Nucleolar Assembly and Intra-nucleolar Cleaving p53 in Gefitinib-Resistant Cancer Stem Cells WEI-HSUAN YU; Wu, Erxi; Li, Yongqing; Hou, Hsin-Han; Yu, Shuan-Su C; Huang, Po-Tsang; Kuo, Wen-Hung; Qi, Dan; Yu, Chong-Jen
國立交通大學 2020-10-05T02:01:28Z Monolithic 3D BEOL FinFET switch arrays using location-controlled-grain technique in voltage regulator with better FOM than 2D regulators Hsieh, Ping-Yi; Chang, Yi-Jui; Chen, Pin-Jun; Chen, Chun-Liang; Yang, Chih-Chao; Huang, Po-Tsang; Chen, Yi-Jing; Shen, Chih-Ming; Liu, Yu-Wei; Huang, Chien-Chi; Tai, Ming-Chi; Lo, Wei-Chung; Shen, Chang-Hong; Shieh, Jia-Min; Chang, Da-Chiang; Chen, Kuan-Neng; Yeh, Wen-Kuan; Hu, Chenming
國立交通大學 2020-05-05T00:02:00Z A 7.5-mW 10-Gb/s 16-QAM Wireline Transceiver with Carrier Synchronization and Threshold Calibration for Mobile Inter-chip Communications in 16-nm FinFET Chang, Mau-Chung Frank; Huang, Po-Tsang; Lee, Sheau-Jiung; Du, Yuan; Li, Yilei; Tu, Yo-Hao; Cho, Wei-Han; Wong, Chien-Heng; Du, Jieqiong
國立交通大學 2020-01-02T00:04:24Z Built-In Self-Test/Repair Methodology for Multiband RF-Interconnected TSV 3D Integration Cheng, Shu-Feng; Huang, Po-Tsang; Wang, Li-Chun; Chang, Mau-Chung Frank
國立交通大學 2019-12-13T01:12:50Z An Energy-Efficient Accelerator with Relative-Indexing Memory for Sparse Compressed Convolutional Neural Network Wu, I-Chen; Huang, Po-Tsang; Lo, Chin-Yang; Hwang, Wei
臺大學術典藏 2019-09-16T05:37:57Z REM sleep and sleep apnea are associated with language function in Down syndrome children: An analysis of a community sample WUH-LIANG ??HWU;YI-CHEN CHEN;PEI-LIN LEE;CHI-LING CHEN;YIN-HSIU CHIEN;Chien, Chun-Chin;Huang, Po-Tsang;Chang, Lih-Maan;Hsu, Wei-Chung;NI-CHUNG LEE; NI-CHUNG LEE; Hsu, Wei-Chung; Chang, Lih-Maan; YI-CHEN CHEN; Huang, Po-Tsang; Chien, Chun-Chin; YIN-HSIU CHIEN; CHI-LING CHEN; WUH-LIANG ??HWU; PEI-LIN LEE
國立交通大學 2019-05-02T00:26:47Z 0.4V Reconfigurable Near-Threshold TCAM in 28nm High-k Metal-Gate CMOS Process Chan, Yun-Sheng; Huang, Po-Tsang; Wu, Shang-Lin; Lung, Sheng-Chi; Wang, Wei-Chang; Hwang, Wei; Chuang, Ching-Te
國立交通大學 2019-04-02T06:04:51Z 28nm Near/Sub-Threshold Dual-Port FIFO Memory for Shared Queues in Multi-Sensor Applications Wu, Yi-Chun; Huang, Po-Tsang; Wu, Shang-Lin; Lung, Sheng-Chi; Wang, Wei-Chang; Hwang, Wei; Chuang, Ching-Te
國立交通大學 2019-04-02T06:04:46Z SMEM plus plus : A Pipelined and Time-Multiplexed SMEM Seeding Accelerator for DNA Sequencing Cong, Jason; Guo, Licheng; Huang, Po-Tsang; Wei, Peng; Yu, Tianhe
國立交通大學 2019-04-02T06:04:45Z Exploration and Evaluation of Low-Dropout Linear Voltage Regulator with FinFET, TFET and Hybrid TFET-FinFET Implementations. Chang, Chia-Ning; Chen, Yin-Nien; Huang, Po-Tsang; Su, Pin; Chuang, Ching-Te
國立交通大學 2019-04-02T06:04:41Z SMEM plus plus : A Pipelined and Time-Multiplexed SMEM Seeding Accelerator for Genome Sequencing Cong, Jason; Guo, Licheng; Huang, Po-Tsang; Wei, Peng; Yu, Tianhe
國立交通大學 2019-04-02T06:04:36Z Location-controlled-grain Technique for Monolithic 3D BEOL FinFET Circuits Yang, Chih-Chao; Hsieh, Tung-Ying; Huang, Po-Tsang; Chen, Kuan-Neng; Wu, Wan-Chi; Chen, Shih-Wei; Chang, Chia-He; Shen, Chang-Hong; Shieh, Jia-Min; Hu, Chenming; Wu, Meng-Chyi; Yeh, Wen-Kuan
臺大學術典藏 2018-09-10T15:32:05Z The Phosphorylation State of GSK3β Serine 9 Correlated to the Development of Valproic Acid-Associated Fetal Cardiac Teratogenicity, Fetal VPA Syndrome, Rescued by Folic Acid Administration Yu, Wei-Hsuan;Ho, Yi-Lwun;Huang, Po-Tsang;Chu, Shian-Ling;Tsai, Huai-Jen;Liou, Horng-Huei; Yu, W.-H. and Ho, Y.-L. and Huang, P.-T. and Chu, S.-L. and Tsai, H.-J. and Liou, H.-H.; 游偉絢;劉宏輝;何奕倫; YI-LWUN HO; Yu, Wei-Hsuan; Ho, Yi-Lwun; WEI-HSUAN YU; Huang, Po-Tsang; Chu, Shian-Ling; Tsai, Huai-Jen; Liou, Horng-Huei
臺大學術典藏 2018-09-10T09:26:47Z A smallest 6 Kda metalloprotease, mini-matrilysin, in living world: A revolutionary conserved zinc-dependent proteolytic domain- helix-Loop-helix catalytic zinc binding domain (ZBD) Yu, Wei-Hsuan;Huang, Po-Tsang;Lou, Kuo-Long;Yu, Shuan-Su C.;Lin, Chen; Yu, W.-H. and Huang, P.-T. and Lou, K.-L. and Yu, S.-S.C. and Lin, C.; 游偉絢 ;樓國隆; WEI-HSUAN YU; Yu, Wei-Hsuan; Huang, Po-Tsang; Lou, Kuo-Long; Yu, Shuan-Su C.; Lin, Chen
國立交通大學 2018-08-21T05:57:04Z A 64-CHANNEL WIRELESS NEURAL SENSING MICROSYSTEM WITH TSV-EMBEDDED MICRO-PROBE ARRAY FOR NEURAL SIGNAL ACQUISITION Huang, Yu-Chieh; Huang, Po-Tsang; Hu, Yu-Chen; Wu, Shang-Lin; You, Yan-Huei; Wang, Yung-Kuei; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chen, Kuan-Neng; Chuang, Ching-Te; Chiou, Jin-Chern
國立交通大學 2018-08-21T05:57:00Z An Implantable 128-Channel Wireless Neural-Sensing Microsystem using TSV-Embedded Dissolvable mu-Needle Array and Flexible Interposer Huang, Po-Tsang; Huang, Yu-Chieh; Wu, Shang-Lin; Hu, Yu-Chen; Lu, Ming-Wei; Sheng, Ting-Wei; Chang, Fung-Kai; Lin, Chun-Pin; Chang, Nien-Shang; Chen, Hung-Lieh; Chen, Chi-Shi; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chen, Kuan-Neng; Chuang, Ching-Te; Chiou, Jin-Chern
國立交通大學 2018-08-21T05:56:48Z Area-Power-Efficient 11-Bit Hybrid Dual-Vdd ADC with Self-Calibration for Neural Sensing Application Chen, Jr-Ming; Huang, Po-Tsang; Wu, Shang-Lin; Hwang, Wei; Chuang, Ching-Te
國立交通大學 2018-08-21T05:54:15Z A 0.5-V 28-nm 256-kb Mini-Array Based 6T SRAM With Vtrip-Tracking Write-Assist Wu, Shang-Lin; Li, Kuang-Yu; Huang, Po-Tsang; Hwang, Wei; Tu, Ming-Hsien; Lung, Sheng-Chi; Peng, Wei-Sheng; Huang, Huan-Shun; Lee, Kuen-Di; Kao, Yung-Shin; Chuang, Ching-Te
國立交通大學 2018-08-21T05:53:55Z A 16-Gb/s 14.7-mW Tri-Band Cognitive Serial Link Transmitter With Forwarded Clock to Enable PAM-16/256-QAM and Channel Response Detection Du, Yuan; Cho, Wei-Han; Huang, Po-Tsang; Li, Yilei; Wong, Chien-Heng; Du, Jieqiong; Kim, Yanghyo; Hu, Boyu; Du, Li; Liu, Chunchen; Lee, Sheau Jiung; Chang, Mau-Chung Frank
國立交通大學 2018-08-21T05:53:55Z An Advanced 2.5-D Heterogeneous Integration Packaging for High-Density Neural Sensing Microsystem Hu, Yu-Chen; Huang, Yu-Chieh; Huang, Po-Tsang; Wu, Shang-Lin; Chang, Hsiao-Chun; Yang, Yu-Tao; You, Yan-Huei; Chen, Jr-Ming; Huang, Yan-Yu; Lin, Yen-Han; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chuang, Ching-Te; Chiou, Jin-Chern; Chen, Kuan-Neng
國立交通大學 2018-08-21T05:53:09Z Ultrahigh-Density 256-Channel Neural Sensing Microsystem Using TSV-Embedded Neural Probes Huang, Yu-Chieh; Huang, Po-Tsang; Wu, Shang-Lin; Hu, Yu-Chen; You, Yan-Huei; Chen, Jr-Ming; Huang, Yan-Yu; Chang, Hsiao-Chun; Lin, Yen-Han; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chen, Kuan-Neng; Chuang, Ching-Te; Chiou, Jin-Chern
國立交通大學 2017-04-21T06:50:15Z Integration of Neural Sensing Microsystem with TSV-embedded Dissolvable mu-Needles Array, Biocompatible Flexible Interposer, and Neural Recording Circuits Huang, Yu-Chieh; Hu, Yu-Chen; Huang, Po-Tsang; Wu, Shang-Lin; You, Yan-Huei; Chen, Jr-Ming; Huang, Yan-Yu; Chang, Hsiao-Chun; Lin, Yen-Han; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chuang, Ching-Te; Chiou, Jin-Chern; Chen, Kuan-Neng
國立交通大學 2017-04-21T06:50:10Z Energy-Efficient Gas Recognition System with Event-Driven Power Control Huang, Chun-Ying; Huang, Po-Tsang; Yang, Chih-Chao; Chuang, Ching-Te; Hwang, Wei
國立交通大學 2017-04-21T06:50:07Z An Ultra-High-Density 256-channel/25mm(2) Neural Sensing Microsystem using TSV-embedded Neural Probes Huang, Yu-Chieh; Huang, Po-Tsang; Wu, Shang-Lin; Hui, Yu-Chen; You, Yan-Huei; Chen, Jr-Ming; Huang, Yan-Yu; Chang, Hsiao-Chun; Lin, Yen-Han; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chen, Kuan-Neng; Chuang, Ching-Te; Chiou, Jin-Chern
國立交通大學 2017-04-21T06:49:54Z A 38mW 40Gb/s 4-Lane Tri-Band PAM-4 / 16-QAM Transceiver in 28nm CMOS for High-Speed Memory Interface Cho, Wei-Han; Li, Yilei; Du, Yuan; Wong, Chien-Heng; Du, Jieqiong; Huang, Po-Tsang; Lee, Sheau Jiung; Chen, Huan-Neng; Jou, Chewn-Pu; Hsueh, Fu-Lung; Chang, Mau-Chung Frank
國立交通大學 2017-04-21T06:49:42Z 0.339fJ/bit/search Energy-Efficient TCAM Macro Design in 40nm LP CMOS Huang, Po-Tsang; Lai, Shu-Lin; Chuang, Ching-Te; Hwang, Wei; Huang, Jason; Hu, Angelo; Kan, Paul; Jia, Michael; Lv, Kimi; Zhang, Bright
國立交通大學 2017-04-21T06:49:21Z A 16Gb/s 14.7mW Tri-Band Cognitive Serial Link Transmitter with Forwarded Clock to Enable PAM-16 / 256-QAM and Channel Response Detection in 28 nm CMOS Du, Yuan; Cho, Wei-Han; Li, Yilei; Wong, Chien-Heng; Du, Jieqiong; Huang, Po-Tsang; Kim, Yanghyo; Chen, Zuow-Zun; Lee, Sheau Jiung; Chang, Mau-Chung Frank
國立交通大學 2017-04-21T06:49:05Z 28nm Ultra-Low Power Near-/Sub- threshold First-In-First-Out (FIFO) Memory for Multi-Bio-Signal Sensing Platforms Hsu, Wei-Shen; Huang, Po-Tsang; Wu, Shang-Lin; Chuang, Ching-Te; Hwang, Wei; Tu, Ming-Hsien; Yin, Ming-Yu
國立交通大學 2017-04-21T06:49:02Z The SMEM Seeding Acceleration for DNA Sequence Alignment Chang, Mau-Chung Frank; Chen, Yu-Ting; Cong, Jason; Huang, Po-Tsang; Kuo, Chun-Liang; Yu, Cody Hao
國立交通大學 2017-04-21T06:48:29Z Low Power Algorithm-Architecture Co-Design of Fast Independent Component Analysis (FICA) for Multi-Gas Sensor Applications Yang, Chieh-Chao; Huang, Po-Tsang; Huang, Chun-Ying; Chuang, Ching-Te; Hwang, Wei
國立交通大學 2017-04-21T06:48:28Z All Digitally Controlled Linear Voltage Regulator with PMOS Strength Self-Calibration for Ripple Reduction Kuo, Yi-Ping; Huang, Po-Tsang; Wu, Chung-Shiang; Liang, Yu-Jie; Chuang, Ching-Te; Chu, Yuan-Hua; Hwang, Wei
淡江大學 2017 知覺價值對智慧型手錶購買行為影響之研究 黃柏蒼;Huang, Po-Tsang
國立交通大學 2016-03-28T00:05:44Z Through-Silicon-Via-Based Double-Side Integrated Microsystem for Neural Sensing Applications Chang, Chih-Wei; Huang, Po-Tsang; Chou, Lei-Chun; Wu, Shang-Lin; Lee, Shih-Wei; Chuang, Ching-Te; Chen, Kuan-Neng; Chiou, Jin-Chern; Hwang, Wei; Lee, Yen-Chi; Wu, Chung-Hsi; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming
國立臺灣大學 2016 The Phosphorylation State of GSK3 beta Serine 9 Correlated to the Development of Valproic Acid-Associated Fetal Cardiac Teratogenicity, Fetal VPA Syndrome, Rescued by Folic Acid Administration Yu, Wei-Hsuan; Ho, Yi-Lwun; Huang, Po-Tsang; Chu, Shian-Ling; Tsai, Huai-Jen; Liou, Horng-Huei; 游偉絢; 劉宏輝; 何奕倫
國立臺灣大學 2016 Importance of the C-terminal histidine residues of Helicobacter pylori GroES for Toll-like receptor 4 binding and interleukin-8 cytokine production Lee, Haur; Su, Yu-Lin; Huang, Bo-Shih; Hsieh, Feng-Tse; Chang, Ya-Hui; Tzeng, Shiou-Ru; Hsu, Chun-Hua; Huang, Po-Tsang; Lou, Kuo-Long; Wang, Yeng-Tseng; Chow, Lu-Ping; 周綠蘋; 樓國隆
國立臺灣大學 2016 Non-basic amino acids in the ROMK1 channels via an appropriate distance modulate PIP2 regulated pH(i)-gating Lee, Chien-Hsing; Huang, Po-Tsang; Liou, Horng-Huei; Lin, Mei-Ying; Lou, Kuo-Long; Chen, Chung-Yi; 劉宏輝; 樓國隆
國立交通大學 2015-12-02T03:00:57Z Integrated Microprobe Array and CMOS MEMS by TSV Technology for Bio- Signal Recording Application Chou, Lei-Chun; Lee, Shih-Wei; Huang, Po-Tsang; Chang, Chih-Wei; Wu, Shang-Lin; Chiou, Jin-Chern; Chuang, Ching-Te; Hwang, Wei; Wu, Chung-Hsi; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chen, Kuan-Neng
國立交通大學 2015-12-02T03:00:54Z A TSV-Based Heterogeneous Integrated Neural-Signal Recording Device with Microprobe Array Chou, Lei-Chun; Lee, Shih-Wei; Cheng, Chuan-An; Huang, Po-Tsang; Chang, Chih-Wei; Chiang, Cheng-Hao; Wu, Shang-Lin; Chuang, Ching-Te; Chiou, Jin-Chern; Hwang, Wei; Wu, Chung-Hsi; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chen, Kuan-Neng
國立交通大學 2015-12-02T03:00:50Z Energy-Efficient Low-Noise 16-Channel Analog-Front-End Circuit for Bio-potential Acquisition Wu, Shang-Lin; Huang, Po-Tsang; Huang, Teng-Chieh; Chen, Kuan-Neng; Chiou, Jin-Chern; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chuang, Ching-Te; Hwang, Wei
國立交通大學 2015-07-21T11:20:52Z 2.5D Heterogeneously Integrated Microsystem for High-Density Neural Sensing Applications Huang, Po-Tsang; Wu, Shang-Lin; Huang, Yu-Chieh; Chou, Lei-Chun; Huang, Teng-Chieh; Wang, Tang-Hsuan; Lin, Yu-Rou; Cheng, Chuan-An; Shen, Wen-Wei; Chuang, Ching-Te; Chen, Kuan-Neng; Chiou, Jin-Chern; Hwang, Wei; Tong, Ho-Ming
國立交通大學 2015-07-21T08:31:30Z 2.5D Heterogeneously Integrated Bio-Sensing Microsystem for Multi-Channel Neural-Sensing Applications Huang, Po-Tsang; Chou, Lei-Chun; Huang, Teng-Chieh; Wu, Shang-Lin; Wang, Tang-Shuan; Lin, Yu-Rou; Cheng, Chuan-An; Shen, Wen-Wei; Chen, Kuan-Neng; Chiou, Jin-Chern; Chuang, Ching-Te; Hwang, Wei; Chen, Kuo-Hua; Chiu, Chi-Tsung; Cheng, Ming-Hsiang; Lin, Yueh-Lung; Tong, Ho-Ming
國立交通大學 2015-07-21T08:31:17Z Energy-Efficient Configurable Discrete Wavelet Transform for Neural Sensing Applications Wang, Tang-Hsuan; Huang, Po-Tsang; Chen, Kuan-Neng; Chiou, Jin-Chem; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chuang, Ching-Te; Hwang, Wei
國立交通大學 2015-07-21T08:29:00Z A double-sided, single-chip integration scheme using through-silicon-via for neural sensing applications Chang, Chih-Wei; Chou, Lei-Chun; Huang, Po-Tsang; Wu, Shang-Lin; Lee, Shih-Wei; Chuang, Ching-Te; Chen, Kuan-Neng; Hwang, Wei; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chiou, Jin-Chern
國立交通大學 2014-12-16T06:15:56Z BUTTERFLY MATCH-LINE STRUCTURE AND SEARCH METHOD IMPLEMENTED THEREBY Huang, Po-Tsang; Hwang, Wei; Chang, Shu-Wei
國立交通大學 2014-12-16T06:15:56Z STORED DON'T-CARE BASED HIERARCHICAL SEARCH-LINE SCHEME CHANG, Shu-Wei; Hwang, Wei; Chang, Ming-Hung; Huang, Po-Tsang
國立交通大學 2014-12-16T06:15:48Z Leakage current cut-off device for ternary content addressable memory Huang, Po-Tsang; Liu, Wen-Yen; Hwang, Wei
國立交通大學 2014-12-16T06:15:48Z Super leakage current cut-off device for ternary content addressable memory Huang, Po-Tsang; Liu, Wen-Yen; Hwang, Wei
國立交通大學 2014-12-16T06:15:33Z Dual-threshold-voltage two-port sub-threshold SRAM cell apparatus Chang, Mu-Tien; Huang, Po-Tsang; Hwang, Wei
國立交通大學 2014-12-16T06:15:01Z SYSTEM AND METHOD FOR ALLOCATING CACHE MEMORY CHANG Yung; Huang Po-Tsang; Hwang Wei

Showing items 1-50 of 77  (2 Page(s) Totally)
1 2 > >>
View [10|25|50] records per page