English  |  正體中文  |  简体中文  |  2823698  
???header.visitor??? :  30505578    ???header.onlineuser??? :  1256
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"j l huang"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 1-25 of 72  (3 Page(s) Totally)
1 2 3 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2018-09-10T15:26:16Z Design, automation, and test for low-power and reliable flexible electronics T.-C. Huang;J.-L. Huang;K.-T. Cheng; T.-C. Huang; J.-L. Huang; K.-T. Cheng; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T15:26:16Z A Test-Application-Count Based Learning Technique for Test Time Reduction G.-Y. Lin;K.-H. Tsai;J.-L. Huang;W.-T. Cheng; G.-Y. Lin; K.-H. Tsai; J.-L. Huang; W.-T. Cheng; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T15:00:40Z FPGA-Based Subset Sum Delay Lines C.-Y. Wang;Y.-Y. Chen;J.-L. Huang;X.-L. Huang; C.-Y. Wang; Y.-Y. Chen; J.-L. Huang; X.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:50:53Z Fault Scrambling Techniques for Yield Enhancement of Embedded Memories S.-K. Lu;H.-C. Jheng;M. Hashizume;J.-L. Huang;P. Ning; S.-K. Lu; H.-C. Jheng; M. Hashizume; J.-L. Huang; P. Ning; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:50:52Z Synergistic reliability and yield enhancement techniques for embedded SRAMs S.-K. Lu;H.-H. Huang;J.-L. Huang;P. Ning; S.-K. Lu; H.-H. Huang; J.-L. Huang; P. Ning; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:50:52Z Improve speed path identification with suspect path expressions J.-L. Huang;K.-H. Tsai;Y.-P. Liu;R. Guo;M. Sharma;W.-T. Cheng; J.-L. Huang; K.-H. Tsai; Y.-P. Liu; R. Guo; M. Sharma; W.-T. Cheng; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:50:52Z A mutual characterization based SAR ADC self-testing technique H.-J. Lin;X.-L. Huang;J.-L. Huang; H.-J. Lin; X.-L. Huang; J.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:50:52Z A circular pipeline processing based deterministic parallel test pattern generator K.-W. Yeh;J.-L. Huang;H.-J. Chao;L.-T. Wang; K.-W. Yeh; J.-L. Huang; H.-J. Chao; L.-T. Wang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:50:52Z Implementation of programmable delay lines on off-the-shelf FPGAs Y.-Y. Chen;J.-L. Huang;T. Kuo; Y.-Y. Chen; J.-L. Huang; T. Kuo; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:50:52Z An IDDQ-Based Source Driver IC Design-for-Test Technique S.-S. Lin;C.-L. Kao;J.-L. Huang;C.-C. Lee;X.-L. Huang; S.-S. Lin; C.-L. Kao; J.-L. Huang; C.-C. Lee; X.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:50:52Z On guaranteeing capture safety in at-speed scan testing with broadcast-scan-based test compression K. Enokimoto;X. Wen;K. Miyase;J.-L. Huang;S. Kajihara;L.-T. Wang; K. Enokimoto; X. Wen; K. Miyase; J.-L. Huang; S. Kajihara; L.-T. Wang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:25:31Z Launch-on-Shift Test Generation for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains, S. Wu;L. T. Wang;X. Wen;W. B. Jone;M. S. Hsiao;F. Li;J. C. M. Li;J. L. Huang; S. Wu; L. T. Wang; X. Wen; W. B. Jone; M. S. Hsiao; F. Li; J. C. M. Li; J. L. Huang; CHIEN-MO LI; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:25:29Z An MCT-Based Bit-Weight Extraction Technique for Embedded SAR ADC Testing and Calibration X.-L. Huang;J.-L. Huang;H.-I. Chen;C.-Y. Chen;K.-T. Tseng;M.-F. Huang;Y.-F. Chou;D.-M. Kwai; X.-L. Huang; J.-L. Huang; H.-I. Chen; C.-Y. Chen; K.-T. Tseng; M.-F. Huang; Y.-F. Chou; D.-M. Kwai; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:25:29Z A SAR ADC missing-decision level detection and removal technique X.-L. Huang;J.-L. Huang;Y.-F. Chou;D.-M. Kwai; X.-L. Huang; J.-L. Huang; Y.-F. Chou; D.-M. Kwai; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:25:29Z A fault-tolerant PE array based matrix multiplier design B.-Y. Jan;J.-L. Huang; B.-Y. Jan; J.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:25:29Z A transition isolation scan cell design for low shift and capture power Y.-T. Lin;J.-L. Huang;X. Wen; Y.-T. Lin; J.-L. Huang; X. Wen; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T09:25:29Z Pre-bond characterization of 1-bit/stage pipelined ADC for 3D-IC applications Y.-H. Chou;J.-L. Huang;X.-L. Huang; Y.-H. Chou; J.-L. Huang; X.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T08:47:22Z Clock-Gating-Aware Low Launch WSA Test Pattern Generation for At-Speed Scan Testing Y.-T. Lin; J.-L. Huang; X. Wen; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T08:47:21Z ADC/DAC Loopback Linearity Testing by DAC Output Offsetting and Scaling X.-L. Huang; J.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T08:47:21Z Robust Circuit Design for Flexible Electronics T.-C Huang; J.-L. Huang; K.-T. Cheng; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T08:47:21Z A self-testing and calibration method for embedded successive approximation register ADC X.-L. Huang; P.-Y. Kang; H.-M. Chang; J.-L. Huang; Y.-F. Chou; Y.-P. Lee; D.-M. Kwai; C.-W. Wu; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T08:47:21Z Broadcast test pattern generation considering skew-insertion and partial-serial scan C.-J. Lin; J.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T08:47:21Z Sigma-delta modulation based wafer-level testing for TFT-LCD source driver ICs W.-A. Lin; C.-C. Li; J.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T08:47:21Z A pre- and post-bond self-testing and calibration methodology for SAR ADC Array in 3-D Imager X.-L. Huang; P.-Y. Kang; J.-L. Huang; Y.-F. Chou; Y.-P. Lee; D.-M. Kwai; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T08:19:07Z An Error Tolerance Scheme for 3D CMOS Imagers H.-M. Sherman Chang;J.-L. Huang;D.-M. Kwai;K.-T. Tim Cheng;C.-W. Wu; H.-M. Sherman Chang; J.-L. Huang; D.-M. Kwai; K.-T. Tim Cheng; C.-W. Wu; JIUN-LANG HUANG

Showing items 1-25 of 72  (3 Page(s) Totally)
1 2 3 > >>
View [10|25|50] records per page