English  |  正體中文  |  简体中文  |  2817030  
???header.visitor??? :  27627252    ???header.onlineuser??? :  644
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"lei tf"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 1-50 of 214  (5 Page(s) Totally)
1 2 3 4 5 > >>
View [10|25|50] records per page

Institution Date Title Author
國立交通大學 2019-04-02T06:00:53Z A novel structure for three-dimensional silicon magnetic transducers to improve the sensitivity symmetry Lin, HY; Lei, TF; Jeng, JJ; Pan, CL; Chang, CY
國立交通大學 2019-04-02T06:00:52Z Suppression of boron penetration in BF2+-implanted poly-Si gate Chao, TS; Chu, CH; Wang, CF; Ho, KJ; Lei, TF; Lee, CL
國立交通大學 2019-04-02T06:00:22Z MOS magnetic current sensor based on standard CMOS process Yang, HM; Lei, TF; Huang, YC; Lee, CL
國立交通大學 2019-04-02T05:59:49Z Improvement of water-related hot-carrier reliability by optimizing the plasma-enhanced tetra-ethoxysilane deposition process Lin, YM; Jang, SM; Yu, CH; Lei, TF
國立交通大學 2019-04-02T05:59:48Z Monitoring trapped charge generation for gate oxide under stress Lin, YH; Lee, CL; Lei, TF
國立交通大學 2019-04-02T05:59:45Z Plasma charging damage and water-related hot-carrier reliability in the deposition of plasma-enhanced tetraethylorthosilicate oxide Lin, YM; Jang, SM; Yu, CH; Lei, TF; Chen, JY
國立交通大學 2019-04-02T05:59:33Z Improvement of reliability of metal-oxide semiconductor field-effect transistors with N2O nitrided gate oxide and N2O polysilicon gate reoxidation Lai, CS; Chao, TS; Lei, TF; Lee, CL; Huang, TY; Chang, CY
國立交通大學 2019-04-02T05:59:28Z A NOVEL PLANARIZATION OF TRENCH ISOLATION USING POLYSILICON REFILL AND ETCHBACK OF CHEMICAL-MECHANICAL POLISH CHENG, JY; LEI, TF; CHAO, TS
國立交通大學 2019-04-02T05:59:15Z Correlation of stress-induced leakage current with generated positive trapped charges for ultrathin gate oxide Lin, YH; Lee, CL; Lei, TF
國立交通大學 2019-04-02T05:58:33Z Mechanism of nitrogen coimplant for suppressing boron penetration in p(+)-polycrystalline silicon gate of p metal-oxide semiconductor field effect transistor Chao, TS; Liaw, MC; Chu, CH; Chang, CY; Chien, CH; Hao, CP; Lei, TF
國立交通大學 2019-04-02T05:58:27Z Low-temperature and low thermal budget fabrication of polycrystalline silicon thin-film transistors Lin, HY; Chang, CY; Lei, TF; Liu, FM; Yang, WL; Cheng, JY; Tseng, HC; Chen, LP
國立交通大學 2014-12-08T15:49:22Z Correlation of stress-induced leakage current with generated positive trapped charges for ultrathin gate oxide Lin, YH; Lee, CL; Lei, TF
國立交通大學 2014-12-08T15:49:13Z Characterization of polysilicon oxides thermally grown and deposited on the polished polysilicon films Lei, TF; Cheng, JY; Shiau, SY; Chao, TS; Lai, CS
國立交通大學 2014-12-08T15:48:55Z Comparison of N-2 and NH3 plasma passivation effects on polycrystalline silicon thin-film transistors Lee, YS; Lin, HY; Lei, TF; Huang, TY; Chang, TC; Chang, CY
國立交通大學 2014-12-08T15:48:54Z Controlling the diffusion of implanted boron in Si and silicide by multiple implants Chu, CH; Ho, KJ; Huang, CT; Shvu, SH; Lei, TF
國立交通大學 2014-12-08T15:47:36Z Improvement of reliability of metal-oxide semiconductor field-effect transistors with N2O nitrided gate oxide and N2O polysilicon gate reoxidation Lai, CS; Chao, TS; Lei, TF; Lee, CL; Huang, TY; Chang, CY
國立交通大學 2014-12-08T15:46:44Z Improvement of ultra-thin 3.3 nm thick oxide for co-salicide process using NF3 annealed poly-gate Chang, TY; Lei, TF; Chao, TS; Huang, CT; Chen, SK; Tuan, A; Chou, S
國立交通大學 2014-12-08T15:46:40Z Enhancement of integrity of polysilicon oxide by using a combination of N2O nitridation and CMP process Lei, TF; Chen, JH; Wang, MF; Chao, TS
國立交通大學 2014-12-08T15:46:12Z A novel Si-B diffusion source for p(+)-poly-Si gate Chao, TS; Kuo, CP; Chen, TP; Lei, TF
國立交通大學 2014-12-08T15:46:06Z Improvement of junction leakage of nickel silicided junction by a Ti-capping layer Hou, TH; Lei, TF; Chao, TS
國立交通大學 2014-12-08T15:45:23Z Low contact resistance of poly-plug structure by in-situ HF-vapour cleaning Chen, JH; Lei, TF; Chao, TS; Su, TP; Huang, SJ; Tuan, A; Chen, SK
國立交通大學 2014-12-08T15:45:12Z Improvement of polysilicon oxide integrity using NF3-annealing Yang, WL; Shieh, MS; Chen, YM; Chao, TS; Liu, DG; Lei, TF
國立交通大學 2014-12-08T15:45:06Z Novel cleaning solutions for polysilicon film post chemical mechanical polishing Pan, TM; Lei, TF; Chen, CC; Chao, TS; Liaw, MC; Yang, WL; Tsai, MS; Lu, CP; Chang, WH
國立交通大學 2014-12-08T15:45:01Z Robust ultrathin oxynitride dielectrics by NH3 nitridation and N2O RTA treatment Pan, TM; Lei, TF; Chao, TS
國立交通大學 2014-12-08T15:45:00Z Characteristics of polysilicon oxides combining N2O nitridation and CMP processes Lei, TF; Chen, JH; Wang, MF; Chao, TS
國立交通大學 2014-12-08T15:44:51Z High quality ultrathin CoTiO3 high-k gate dielectrics Pan, TM; Lei, TF; Chao, TS; Chang, KL; Hsieh, KC
國立交通大學 2014-12-08T15:44:48Z Postexposure delay effect on linewidth variation in base added chemically amplified resist Ku, CY; Shieh, JM; Chiou, TB; Lin, HK; Lei, TF
國立交通大學 2014-12-08T15:44:47Z Optimum conditions for novel one-step cleaning method for pre-gate oxide cleaning using robust design methodology Pan, TM; Lei, TF; Chao, TS; Liaw, MC; Lu, CP
國立交通大學 2014-12-08T15:44:41Z Characteristics of TEOS polysilicon oxides: Improvement by CMP and high temperature RTA N-2/N2O annealing Chen, JH; Lei, TF; Chao, TS
國立交通大學 2014-12-08T15:44:20Z A novel thin-film transistor with self-aligned field induced drain Lin, HC; Yu, CM; Lin, CY; Yeh, KL; Huang, TY; Lei, TF
國立交通大學 2014-12-08T15:44:15Z High quality interpoly-oxynitride grown by NH3 nitridation and N2O RTA treatment Pan, TM; Lei, TF; Yang, WL; Cheng, CM; Chao, TS
國立交通大學 2014-12-08T15:44:05Z High-k cobalt-titanium oxide dielectrics formed by oxidation of sputtered Co/Ti or Ti/Co films Pan, TM; Lei, TF; Chao, TS
國立交通大學 2014-12-08T15:44:02Z Comparison of ultrathin CoTiO3 and NiTiO3 high-k gate dielectrics Pan, TM; Lei, TF; Chao, TS
國立交通大學 2014-12-08T15:44:00Z High reliability polyoxide fabricated by using TEOS oxide deposited on disilane polysilicon film Lee, JW; Lee, CL; Lei, TF; Lai, CS
國立交通大學 2014-12-08T15:43:52Z Characterization of ultrathin oxynitride (18-21 angstrom) gate dielectrics by NH3 nitridation and N2O RTA treatment Pan, TM; Lei, TF; Wen, HC; Chao, TS
國立交通大學 2014-12-08T15:43:50Z One-step cleaning solution to replace the conventional RCA two-step cleaning recipe for pregate oxide cleaning Pan, TM; Lei, TF; Chao, TS; Liaw, MC; Ko, FH; Lu, CP
國立交通大學 2014-12-08T15:43:47Z Focus measurement with a simple pattern design Ku, CY; Lei, TF; Lin, HK
國立交通大學 2014-12-08T15:43:39Z High quality interpoly dielectrics deposited on the nitrided-polysilicon for nonvolatile memory devices Yang, WL; Chao, TS; Cheng, CM; Pan, TM; Lei, TF
國立交通大學 2014-12-08T15:43:37Z The enhancement of nitrogen incorporation in RTN2O annealed TEOS oxide fabricated on disilane-based polysilicon films Lee, JW; Chen, WD; Lei, TF; Lee, CL
國立交通大學 2014-12-08T15:43:37Z Expanding the process window and reducing the optical proximity effect by post-exposure delay Ku, CY; Shieh, JM; Chiou, TB; Lin, HK; Lei, TF
國立交通大學 2014-12-08T15:43:31Z Improvements in both thermal stability of Ni-silicide and electrical reliability of gate oxides using a stacked polysilicon gate structure Lee, JW; Lin, SX; Lei, TF; Lee, CL
國立交通大學 2014-12-08T15:43:30Z Monitoring lithographic focus and tilting performance by off-line overlay measurement tools Ku, CY; Lei, TF; Cheng, DS
國立交通大學 2014-12-08T15:43:27Z Electrical characteristics of thin cerium oxide film on silicon substrate by reactive DC sputtering Pan, TM; Chien, CH; Lei, TF; Chao, TS; Huang, TY
國立交通大學 2014-12-08T15:43:27Z An investigation of scanning capacitance microscopy on iron-contaminated p-type silicon Chang, MN; Chang, TY; Pan, FM; Wu, BW; Lei, TF
國立交通大學 2014-12-08T15:43:19Z Thin tunnel oxide grown on silicon substrate pretreated by CF4 plasma Lee, JW; Lei, TF; Lee, CL
國立交通大學 2014-12-08T15:43:18Z Comparison of novel cleaning solutions, with various chelating agents for post-CMP cleaning on poly-Si film Pan, TM; Lei, TF; Ko, FH; Chao, TS; Chiu, TH; Lee, YH; Lu, CP
國立交通大學 2014-12-08T15:43:07Z Nitrogen implantation and in situ HF vapor clean for deep submicrometer n-MOSFETs Chen, JH; Lei, TF; Chen, CL; Chao, TS; Wen, WY; Chen, KT
國立交通大學 2014-12-08T15:42:25Z Characteristics of polycrystalline silicon thin-film transistors with electrical source/drain extensions induced by a bottom sub-gate Yu, M; Lin, HC; Chen, GH; Huang, TY; Lei, TF
國立交通大學 2014-12-08T15:42:23Z Performance evaluation of cleaning solutions enhanced with tetraalkylammonium hydroxide substituents for post-CMP cleaning on poly-Si film Pan, TM; Lei, TF; Ko, FH; Chao, TS; Liaw, MC; Lee, YH; Lu, CP
國立交通大學 2014-12-08T15:42:22Z Thin oxides grown on disilane-based polysilicon Lee, JW; Lei, TF; Lee, CL

Showing items 1-50 of 214  (5 Page(s) Totally)
1 2 3 4 5 > >>
View [10|25|50] records per page