English  |  正體中文  |  简体中文  |  2809385  
???header.visitor??? :  26967554    ???header.onlineuser??? :  487
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"li yih lang"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 1-25 of 111  (5 Page(s) Totally)
1 2 3 4 5 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2022-02-21T23:31:24Z DATC RDF-2021: Design Flow and Beyond Chen, Jianli; HUI-RU JIANG; Jung, Jinwook; Kahng, Andrew B.; Kim, Seungwon; Kravets, Victor N.; Li, Yih Lang; Varadarajan, Ravi; Woo, Mingyu
國立交通大學 2020-10-05T02:02:23Z Smart Shopping Carts Based on Mobile Computing and Deep Learning Cloud Services Sarwart, Muhammad Atif; Daraghmi, Yousef-Awwad; Liu, Kuan-Wen; Chi, Hong-Chuan; Ik, Tsi-Ui; Li, Yih-Lang
國立交通大學 2020-10-05T02:02:22Z A Maze Routing-Based Algorithm for ML-OARST with Pre-Selecting and Re-Building Steiner Points Lin, Kuen-Wey; Lin, Yeh-Sheng; Li, Yih-Lang; Lin, Rung-Bin
臺大學術典藏 2020-06-11T06:21:01Z Incremental Timing-Driven Placement With Approximated Signoff Wire Delay and Regression-Based Cell Delay. Lee, Tai-Cheng;Li, Yih-Lang; Lee, Tai-Cheng; Li, Yih-Lang; TAI-CHENG LEE
國立交通大學 2020-05-05T00:02:00Z DATC RDF-2019: Towards a Complete Academic Reference Design Flow Lin, Shih-Ting; Woo, Mingyu; Li, Yih-Lang; Jiang, Iris Hui-Ru; Jung, Jinwook; Kahng, Andrew B.; Kravets, Victor N.; Chen, Jianli
國立交通大學 2019-12-13T01:12:53Z DATC RDF: An Academic Flow from Logic Synthesis to Detailed Routing Jung, Jinwook; Jiang, Iris Hui-Ru; Chen, Jianli; Lin, Shih-Ting; Li, Yih-Lang; Kravets, Victor N.; Nam, Gi-Joon
國立交通大學 2019-12-13T01:10:05Z Incremental Timing-Driven Placement With Approximated Signoff Wire Delay and Regression-Based Cell Delay Lee, Tai-Cheng; Li, Yih-Lang
國立交通大學 2019-10-05T00:09:41Z NCTUcell: A DDA-Aware Cell Library Generator for FinFET Structure with Implicitly Adjustable Grid Map Li, Yih-Lang; Lin, Shih-Ting; Nishizawa, Shinichi; Su, Hong-Yon; Fong, Ming-Jie; Chen, Oscar; Onodera, Hidetoshi
國立交通大學 2019-04-02T06:04:51Z MapReduce-Based Pattern Classification for Design Space Analysis Wu, Yan-Shiun; Su, Hong-Yan; Chang, Yi-Hsiang; Topaloglu, Rasit Onur; Li, Yih-Lang
國立交通大學 2019-04-02T06:01:01Z Optimizing the Antenna Area and Separators in Layer Assignment of Multilayer Global Routing Liu, Wen-Hao; Li, Yih-Lang
國立交通大學 2019-04-02T06:00:44Z A Maze Routing-Based Methodology With Bounded Exploration and Path-Assessed Retracing for Constrained Multilayer Obstacle-Avoiding Rectilinear Steiner Tree Construction Lin, Kuen-Wey; Lin, Yeh-Sheng; Li, Yih-Lang; Lin, Rung-Bin
國立交通大學 2018-08-21T05:57:11Z Pin Accessibility Evaluating Model for Improving Routability of VLSI Designs Su, Hong-Yan; Nishizawa, Shinichi; Wu, Yan-Shiun; Shiomi, Jun; Li, Yih-Lang; Onodera, Hidetoshi
國立交通大學 2018-08-21T05:57:01Z Clock Tree Aware Post-Global Placement Optimization Su, Hong-Yan; Chiang, Po-Ting; Samanta, Radhamanjari; Li, Yih-Lang
國立交通大學 2018-08-21T05:57:01Z Near-Future Traffic Evaluation based Navigation for Automated Driving Vehicles Lin, Kuen-Wey; Li, Yih-Lang; Hashimoto, Masanori
國立交通大學 2018-08-21T05:56:59Z DATC RDF: Robust Design Flow Database Jung, Jinwook; Lee, Pei-Yu; Wu, Yan-Shiun; Darav, Nima Karimpour; Jiang, Iris Hui-Ru; Kravets, Victor N.; Behjat, Laleh; Li, Yih-Lang; Nam, Gi-Joon
國立交通大學 2018-08-21T05:56:53Z Multi-Threaded Collision-Aware Global Routing with Bounded-Length Maze Routing Liu, Wen-Hao; Kao, Wei-Chun; Li, Yih-Lang; Chao, Kai-Yuan
國立交通大學 2018-08-21T05:56:53Z Double Patterning Lithography Aware Gridless Detailed Routing with Innovative Conflict Graph Lin, Yen-Hung; Li, Yih-Lang
國立交通大學 2018-08-21T05:56:44Z Cellular Automata Based Hardware Accelerator for Parallel Maze Routing Saurabh, Shashank; Lin, Kuen-Wey; Li, Yih-Lang
國立交通大學 2018-08-21T05:56:27Z LESAR: A Dynamic Line-End Spacing Aware Detailed Router Wei, Ying-Chi; Samanta, Radhamanjari; Li, Yih-Lang
國立交通大學 2018-08-21T05:56:24Z Near-Future Traffic Evaluation based Navigation for Automated Driving Vehicles Considering Traffic Uncertainties Lin, Kuen-Wey; Hashimoto, Masanori; Li, Yih-Lang
國立交通大學 2018-08-21T05:53:36Z Fast and Accurate Emissivity and Absolute Temperature Maps Measurement for Integrated Circuits Yu, Hsueh-Ling; Li, Yih-Lang; Liao, Tzu-Yi; Wang, Tianchen; Tsai, Shu-Fei; Shi, Yiyu
國立交通大學 2018-01-24T07:42:53Z 考慮設計規則的符號式佈局到實體佈局的轉換 謝昕曄; 李毅郎; Hsieh, Sin-Ye; Li, Yih-Lang
國立交通大學 2018-01-24T07:42:25Z 考慮先進製程複雜的設計規則之標準元件庫佈局圖最佳化 陳敬禾; 李毅郎; Chen, Ching-Ho; Li, Yih-Lang
國立交通大學 2018-01-24T07:42:25Z 應用於先進製程標準元件之可移動式格點的
高品質迷宮繞線 翁立; 李毅郎; Wong, Le; Li, Yih-Lang
國立交通大學 2018-01-24T07:39:34Z 應用於漸進式時序驅動擺置法的早期延遲與晚期延遲最佳化方法 翁士堯; 李毅郎; Weng, Shih-Yao; Li, Yih-Lang

Showing items 1-25 of 111  (5 Page(s) Totally)
1 2 3 4 5 > >>
View [10|25|50] records per page