English  |  正體中文  |  简体中文  |  Total items :2817464  
Visitors :  27763635    Online Users :  643
Project Commissioned by the Ministry of Education
Project Executed by National Taiwan University Library
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
About TAIR

Browse By

News

Copyright

Related Links

"philip c w ng"

Return to Browse by Author
Sorting by Title Sort by Date

Showing items 1-31 of 31  (1 Page(s) Totally)
1 
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2018-09-10T09:50:34Z Study of etching bias modeling and correction strategies for compensation of patterning process effects Philip C. W. Ng;Kuen-Yu Tsai;Lawrence S. Melvin III; Philip C. W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:34Z Study of etching bias modeling and correction strategies for compensation of patterning process effects Philip C. W. Ng;Kuen-Yu Tsai;Lawrence S. Melvin III; Philip C. W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:34Z Direct-scatterometry-enabled optical-proximity-correction-model calibration Chih-Yu Chen;Philip C. W. Ng;Chun-Hung Liu;Yu-Tian Shen;Kuen-Yu Tsai;Jia-Han Li;Jason J. Shieh;Alek C. Chen; Chih-Yu Chen; Philip C. W. Ng; Chun-Hung Liu; Yu-Tian Shen; Kuen-Yu Tsai; Jia-Han Li; Jason J. Shieh; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:34Z Direct-scatterometry-enabled optical-proximity-correction-model calibration Chih-Yu Chen;Philip C. W. Ng;Chun-Hung Liu;Yu-Tian Shen;Kuen-Yu Tsai;Jia-Han Li;Jason J. Shieh;Alek C. Chen; Chih-Yu Chen; Philip C. W. Ng; Chun-Hung Liu; Yu-Tian Shen; Kuen-Yu Tsai; Jia-Han Li; Jason J. Shieh; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:33Z Impacts of point spread function accuracy on patterning prediction and proximity effect correction in low-voltage electron-beam-direct-write lithography Chun-Hung Liu;Philip C. W. Ng;Yu-Tian Shen;Sheng-Wei Chien;Kuen-Yu Tsai; Chun-Hung Liu; Philip C. W. Ng; Yu-Tian Shen; Sheng-Wei Chien; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:33Z Impacts of point spread function accuracy on patterning prediction and proximity effect correction in low-voltage electron-beam-direct-write lithography Chun-Hung Liu;Philip C. W. Ng;Yu-Tian Shen;Sheng-Wei Chien;Kuen-Yu Tsai; Chun-Hung Liu; Philip C. W. Ng; Yu-Tian Shen; Sheng-Wei Chien; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z New method of optimizing writing parameters in electron beam lithography systems for throughput improvement considering patterning fidelity constraints Hoi-Tou Ng;Yu-Tian Shen;Sheng-Yung Chen;Chun-Hung Liu;Philip C. W. Ng;Kuen-Yu Tsai; Hoi-Tou Ng; Yu-Tian Shen; Sheng-Yung Chen; Chun-Hung Liu; Philip C. W. Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z New method of optimizing writing parameters in electron beam lithography systems for throughput improvement considering patterning fidelity constraints Hoi-Tou Ng;Yu-Tian Shen;Sheng-Yung Chen;Chun-Hung Liu;Philip C. W. Ng;Kuen-Yu Tsai; Hoi-Tou Ng; Yu-Tian Shen; Sheng-Yung Chen; Chun-Hung Liu; Philip C. W. Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z Study of etching bias modeling and correction strategies for patterning processes Philip C.W. Ng;Kuen-Yu Tsai;Lawrence S. Melvin III; Philip C.W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z Study of etching bias modeling and correction strategies for patterning processes Philip C.W. Ng;Kuen-Yu Tsai;Lawrence S. Melvin III; Philip C.W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:33Z Non-delta-chrome optical proximity correction methodology for process models with three-dimensional mask effects Philip C. W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:32Z Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effects Philip C. W. Ng; Kuen-Yu Tsai; Yen-Min Lee; Fu-Min Wang; Jia-Han Li; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Impact of process effects correction strategies on critical dimension and electrical characteristics variabilities in extreme ultraviolet lithography Philip C. W. Ng;Sheng-Wei Chien;Bo-Sen Chang;Kuen-Yu Tsai;Yi-Chang Lu; Philip C. W. Ng; Sheng-Wei Chien; Bo-Sen Chang; Kuen-Yu Tsai; Yi-Chang Lu; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Impact of process effects correction strategies on critical dimension and electrical characteristics variabilities in extreme ultraviolet lithography Philip C. W. Ng;Sheng-Wei Chien;Bo-Sen Chang;Kuen-Yu Tsai;Yi-Chang Lu; Philip C. W. Ng; Sheng-Wei Chien; Bo-Sen Chang; Kuen-Yu Tsai; Yi-Chang Lu; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z A non-delta-chrome OPC methodology for nonlinear process models Philip C. W. Ng;Kuen-Yu Tsai;Lawrence S. Melvin III; Philip C. W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z A non-delta-chrome OPC methodology for nonlinear process models Philip C. W. Ng;Kuen-Yu Tsai;Lawrence S. Melvin III; Philip C. W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:21Z Model-based proximity effect correction for electron-beam direct-write lithography Yu-Tian Shen; Kuen-Yu Tsai; KUEN-YU TSAI; Chun-Hung Liu;Pei-Lin Tien;Philip C. W. Ng;Yu-Tian Shen;Kuen-Yu Tsai; Chun-Hung Liu; Pei-Lin Tien; Philip C. W. Ng
臺大學術典藏 2018-09-10T08:18:21Z Model-based proximity effect correction for electron-beam direct-write lithography Yu-Tian Shen; Kuen-Yu Tsai; KUEN-YU TSAI; Chun-Hung Liu;Pei-Lin Tien;Philip C. W. Ng;Yu-Tian Shen;Kuen-Yu Tsai; Chun-Hung Liu; Pei-Lin Tien; Philip C. W. Ng
臺大學術典藏 2018-09-10T08:18:21Z A non-delta-chrome OPC methodology for process models with three-dimensional mask effects Philip C. W. Ng;Kuen-Yu Tsai;Chih-Hsien Tang;Lawrence S. Melvin III; Philip C. W. Ng; Kuen-Yu Tsai; Chih-Hsien Tang; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:21Z A non-delta-chrome OPC methodology for process models with three-dimensional mask effects Philip C. W. Ng;Kuen-Yu Tsai;Chih-Hsien Tang;Lawrence S. Melvin III; Philip C. W. Ng; Kuen-Yu Tsai; Chih-Hsien Tang; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:20Z Efficient scattering simulations for equivalent extreme ultraviolet mask multilayer structures by modified transmission line theory and finite-difference time-domain method Yen-Min Lee;Jia-Han Li;Philip C. W. Ng;Ting-Hang Pei;Fu-Min Wang;Kuen-Yu Tsai;Alek C. Chen; Yen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Hang Pei; Fu-Min Wang; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:20Z Efficient scattering simulations for equivalent extreme ultraviolet mask multilayer structures by modified transmission line theory and finite-difference time-domain method Yen-Min Lee;Jia-Han Li;Philip C. W. Ng;Ting-Hang Pei;Fu-Min Wang;Kuen-Yu Tsai;Alek C. Chen; Yen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Hang Pei; Fu-Min Wang; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:07Z Using transmission line theory to calculate equivalent refractive index of EUV mask multilayer structures for efficient scattering simulation by finite-difference time-domain method Yen-Min Lee;Jia-Han Li;Philip C. W. Ng;Ting-Han Pei;Fu-Min Wang;Kuen-Yu Tsai;Alek C. Chen; Yen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Han Pei; Fu-Min Wang; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:07Z Using transmission line theory to calculate equivalent refractive index of EUV mask multilayer structures for efficient scattering simulation by finite-difference time-domain method Yen-Min Lee;Jia-Han Li;Philip C. W. Ng;Ting-Han Pei;Fu-Min Wang;Kuen-Yu Tsai;Alek C. Chen; Yen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Han Pei; Fu-Min Wang; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:06Z A fully model-based methodology for simultaneously correcting EUV mask shadowing and optical proximity effects with improved pattern transfer fidelity and process windows Philip C. W. Ng;Kuen-Yu Tsai;Yen-Min Lee;Ting-Han Pei;Fu-Min Wang;Jia-Han Li;Alek C. Chen; Philip C. W. Ng; Kuen-Yu Tsai; Yen-Min Lee; Ting-Han Pei; Fu-Min Wang; Jia-Han Li; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:06Z A fully model-based methodology for simultaneously correcting EUV mask shadowing and optical proximity effects with improved pattern transfer fidelity and process windows Philip C. W. Ng;Kuen-Yu Tsai;Yen-Min Lee;Ting-Han Pei;Fu-Min Wang;Jia-Han Li;Alek C. Chen; Philip C. W. Ng; Kuen-Yu Tsai; Yen-Min Lee; Ting-Han Pei; Fu-Min Wang; Jia-Han Li; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:08:40Z A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effects Meng-Fu You; Yi-Chang Lu; Philip C. W. Ng; YI-CHANG LU; KUEN-YU TSAI; Kuen-Yu Tsai;Meng-Fu You;Yi-Chang Lu;Philip C. W. Ng; Kuen-Yu Tsai
臺大學術典藏 2018-09-10T07:08:40Z A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effects Meng-Fu You; Yi-Chang Lu; Philip C. W. Ng; YI-CHANG LU; KUEN-YU TSAI; Kuen-Yu Tsai;Meng-Fu You;Yi-Chang Lu;Philip C. W. Ng; Kuen-Yu Tsai
臺大學術典藏 2018-09-10T07:08:40Z A novel curve-fitting procedure for determining proximity effect parameters in electron beam lithography Chun-Hung Liu;Hoi-Tou Ng;Philip C. W. Ng;Kuen-Yu Tsai;Shy-Jay Lin;Jeng-Horng Chen; Chun-Hung Liu; Hoi-Tou Ng; Philip C. W. Ng; Kuen-Yu Tsai; Shy-Jay Lin; Jeng-Horng Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:08:40Z A novel curve-fitting procedure for determining proximity effect parameters in electron beam lithography Chun-Hung Liu;Hoi-Tou Ng;Philip C. W. Ng;Kuen-Yu Tsai;Shy-Jay Lin;Jeng-Horng Chen; Chun-Hung Liu; Hoi-Tou Ng; Philip C. W. Ng; Kuen-Yu Tsai; Shy-Jay Lin; Jeng-Horng Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T06:35:25Z Impacts of optical proximity correction settings on electrical performances Meng-Fu You; Philip C. W. Ng; Yi-Sheng Su; Kuen-Yu Tsai; Yi-Chang Lu; YI-CHANG LU; KUEN-YU TSAI

Showing items 1-31 of 31  (1 Page(s) Totally)
1 
View [10|25|50] records per page