English  |  正體中文  |  简体中文  |  Total items :2823515  
Visitors :  30376999    Online Users :  699
Project Commissioned by the Ministry of Education
Project Executed by National Taiwan University Library
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
About TAIR

Browse By

News

Copyright

Related Links

"sheng yung chen"

Return to Browse by Author
Sorting by Title Sort by Date

Showing items 1-25 of 26  (2 Page(s) Totally)
1 2 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2022-01-03T08:01:17Z Iterative finite-difference method for analyzing fabrication errors of lens-misaligned electron-beam direct-write lithography system Yen-Min Lee;  Jia-Han Li*; Sheng-Yung Chen; Shiau-Yi Ma; Kuen-Yu Tsai; Tony Wen-Hann Sheu; Jia-Yush Yen; JIA-HAN LI
臺大學術典藏 2019-10-31T07:58:01Z Fabrication of metrology test structures with helium ion beam direct write KUEN-YU TSAI;Chao-Te Lee;Chit-Sung Hong;Bor-Yuan Shew;Jia-Han Li;Kuen-Yu Tsai*;Chun-Hung Liu;Sheng-Yung Chen;Sheng-Wei Chien;Chien-Lin Lee; Chien-Lin Lee; Sheng-Wei Chien; Sheng-Yung Chen; Chun-Hung Liu; Kuen-Yu Tsai*; Jia-Han Li; Bor-Yuan Shew; Chit-Sung Hong; Chao-Te Lee; KUEN-YU TSAI
臺大學術典藏 2019-10-31T07:58:00Z Fabrication of metrology test structures with helium ion beam direct write KUEN-YU TSAI;Chao-Te Lee;Chit-Sung Hong;Bor-Yuan Shew;Jia-Han Li;Kuen-Yu Tsai*;Chun-Hung Liu;Sheng-Yung Chen;Sheng-Wei Chien;Chien-Lin Lee; Chien-Lin Lee; Sheng-Wei Chien; Sheng-Yung Chen; Chun-Hung Liu; Kuen-Yu Tsai*; Jia-Han Li; Bor-Yuan Shew; Chit-Sung Hong; Chao-Te Lee; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:26:03Z Design of an electron-optical system with a ball-tip emission source through a numerical optimization method for high-throughput electron-beam–direct-write lithography Yu-Tian Shen; Kuen-Yu Tsai; KUEN-YU TSAI; Hsuan-Ping Lee;Sheng-Yung Chen;Chun-Hung Liu;Ding-Qi;Yu-Tian Shen;Kuen-Yu Tsai; Hsuan-Ping Lee; Sheng-Yung Chen; Chun-Hung Liu; Ding-Qi
臺大學術典藏 2018-09-10T15:00:25Z System and Method for Estimating Change of Status of Particle Beams (粒子束狀態改變監測系統及其方法) Kuen-Yu Tsai;Sheng-Yung Chen;Jia-Yush Yen;Yung-Yaw Chen;Chi-Hsiang Fan; Kuen-Yu Tsai; Sheng-Yung Chen; Jia-Yush Yen; Yung-Yaw Chen; Chi-Hsiang Fan; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:00:24Z Apparatus and Method for Estimating Change of Status of Particle Beams (粒子束狀態改變之估測裝置及其方法) Kuen-Yu Tsai;Sheng-Yung Chen; Kuen-Yu Tsai; Sheng-Yung Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:00:24Z Method for Adjusting Status of Particle Beams for Patterning A Substrate and System Using the Same (於一基板上製作圖案時之粒子束狀態調整方法及其系統) Kuen-Yu Tsai;Sheng-Yung Chen; Kuen-Yu Tsai; Sheng-Yung Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:00:24Z Method and Apparatus For Designing Patterning Systems Considering Patterning Fidelity (基於圖案製作真確度之圖案製作系統設計方法與裝置) Kuen-Yu Tsai;Sheng-Yung Chen;Hoi-Tou Ng;Shiau-Yi Ma; Kuen-Yu Tsai; Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:34Z Method and Apparatus for Designing Patterning System Based on Patterning Fidelity Kuen-Yu Tsai;Sheng-Yung Chen;Hoi-Tou Ng;Shiau-Yi Ma; Kuen-Yu Tsai; Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z New method of optimizing writing parameters in electron beam lithography systems for throughput improvement considering patterning fidelity constraints Hoi-Tou Ng;Yu-Tian Shen;Sheng-Yung Chen;Chun-Hung Liu;Philip C. W. Ng;Kuen-Yu Tsai; Hoi-Tou Ng; Yu-Tian Shen; Sheng-Yung Chen; Chun-Hung Liu; Philip C. W. Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z Architecture and EOS Design Flow of a Multiple-Electron-Beam–Direct-Write System Considering Patterning Fidelity, Breakdown Effect Sheng-Yung Chen;Shiau-Yi Ma;Kuen-Yu Tsai; Sheng-Yung Chen; Shiau-Yi Ma; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:34Z Method for Adjusting Status of Particle Beams for Patterning A Substrate And System Using The Same Kuen-Yu Tsai;Sheng-Yung Chen; Kuen-Yu Tsai; Sheng-Yung Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:34Z Apparatus and Method for Estimating Change of Status of Particle Beams Kuen-Yu Tsai;Sheng-Yung Chen; Kuen-Yu Tsai; Sheng-Yung Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:34Z Method and Computer-Aided Design System Of Manufacturing An Optical System Kuen-Yu Tsai;Sheng-Yung Chen;Shih-Chuan Chen; Kuen-Yu Tsai; Sheng-Yung Chen; Shih-Chuan Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:33Z Lithography-patterning-fidelity-aware electron-optical system design optimization Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; Hsing-Hong Chen; Chun-Hung Liu; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:33Z Lithography-patterning-fidelity-aware electron-optical system design optimization Sheng-Yung Chen; Hoi-Tou Ng; Shiau-Yi Ma; Hsing-Hong Chen; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:33Z System and Method for Estimating Change of Status of Particle Beams Kuen-Yu Tsai;Sheng-Yung Chen;Jia-Yush Yen;Yung-Yaw Chen;Chi-Hsiang Fan; Kuen-Yu Tsai; Sheng-Yung Chen; Jia-Yush Yen; Yung-Yaw Chen; Chi-Hsiang Fan; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:32Z Silicon photodiodes for electron beam position and drift detection in scanning electron microscopy and electron beam lithography system Kuen-Yu Tsai; Yung-Yaw Chen; KUEN-YU TSAI; YUNG-YAW CHEN; JIA-YUSH YEN; Sheng-Yung Chen; Jia-Yush Yen; Cheng-Ju Wu; Yi-Hung Kuo
臺大學術典藏 2018-09-10T08:18:26Z Iterative finite-difference method for analyzing fabrication errors of lens-misaligned electron-beam direct-write lithography system Yen-Min Lee;Jia-Han Li;Sheng-Yung Chen;Shiau-Yi Ma;Kuen-Yu Tsai;Tony W. H. Sheu;Jia-Yush Yen; Yen-Min Lee; Jia-Han Li; Sheng-Yung Chen; Shiau-Yi Ma; Kuen-Yu Tsai; Tony W. H. Sheu; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z Beam drift detection using a two-dimensional electron beam position monitor system for multiple-electron-beam–direct-write lithography Sheng-Yung Chen;Kuen-Yu Tsai;Hoi-Tou Ng;Chi-Hsiung Fan;Ting-Han Pei;Chieh-Hsiung Kuan;Yung-Yaw Chen;Yi-Hung Kuo;Cheng-Ju Wu;Jia-Yush Yen; Sheng-Yung Chen; Kuen-Yu Tsai; Hoi-Tou Ng; Chi-Hsiung Fan; Ting-Han Pei; Chieh-Hsiung Kuan; Yung-Yaw Chen; Yi-Hung Kuo; Cheng-Ju Wu; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:21Z Analysis of fabrication misalignment effects in a MEMS-based electron-optical system design for direct-write lithography Sheng-Yung Chen;Chieh-Chien Huang;Shin-Chuan Chen;Ting-Han Pei;Kuen-Yu Tsai; Sheng-Yung Chen; Chieh-Chien Huang; Shin-Chuan Chen; Ting-Han Pei; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:20Z Fresnel zone plate manufacturability analysis for direct-write lithography by simulating focusing and patterning performance versus fabrication errors Kuen-Yu Tsai; Sheng-Yung Chen; Ting-Han Pei; Jia-Han Li; KUEN-YU TSAI; Kuen-Yu Tsai;Sheng-Yung Chen;Ting-Han Pei;Jia-Han Li
臺大學術典藏 2018-09-10T08:18:19Z Manufacturability analysis of a micro-electro-mechanical systems–based electron-optical system design for direct-write lithography Sheng-Yung Chen;Shin-Chuan Chen;Hsing-Hong Chen;Kuen-Yu Tsai;Hsin-Hung Pan; Sheng-Yung Chen; Shin-Chuan Chen; Hsing-Hong Chen; Kuen-Yu Tsai; Hsin-Hung Pan; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:07Z Manufacturability analysis of a MEMS-based electron-optical system design for direct-write lithography Sheng-Yung Chen;Shin-Chuan Chen;Hsing-Hong Chen;Ting-Han Pei;Kuen-Yu Tsai;Hsin-Hung Pan; Sheng-Yung Chen; Shin-Chuan Chen; Hsing-Hong Chen; Ting-Han Pei; Kuen-Yu Tsai; Hsin-Hung Pan; KUEN-YU TSAI
臺大學術典藏 2018-09-10T06:38:05Z Design adn Fabrication of Multi Frequency Ultrasonic Annular Transducers Jian-Hung Liu; Sheng-Yung Chen; Pai-Chi Li; PAI-CHI LI

Showing items 1-25 of 26  (2 Page(s) Totally)
1 2 > >>
View [10|25|50] records per page