English  |  正體中文  |  简体中文  |  2817371  
???header.visitor??? :  27745168    ???header.onlineuser??? :  361
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

???jsp.browse.items-by-date.jump???
???jsp.browse.items-by-date.type???
???jsp.browse.items-by-date.recent??? ???jsp.browse.items-by-date.order2???

Showing items 1978491-1978515 of 2309033  (92362 Page(s) Totally)
<< < 79135 79136 79137 79138 79139 79140 79141 79142 79143 79144 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2018-09-10T07:35:43Z Surface landing of microtubule nanotracks influenced by lithographically patterned channels Kurabayashi, Katsuo;Meyhofer, Edgar;Kao, Ming-Tse;Lin, Chih-Tin;CHIH-TING LIN; Kurabayashi, Katsuo; Meyhofer, Edgar; Kao, Ming-Tse; Lin, Chih-Tin; CHIH-TING LIN; CHIH-TING LIN
臺大學術典藏 2018-09-10T07:35:43Z A high performance Doppler interferometer for advanced optical storage systems Wu, GY; Teng, CT; Wu, WJ; Lin, CT; Hsiao, WH; Shih, HC; Wang, JS; Lin, SC; Lin, CC; Lee, CF; Lin, YC; Wu, GY; Teng, CT; Wu, WJ; Lin, CT; Hsiao, WH; Shih, HC; Wang, JS; Lin, SC; Lin, CC; Lee, CF; Lin, YC; Lee, CK; CHIH-TING LIN et al.
臺大學術典藏 2018-09-10T07:35:43Z GIS-based tests for quality control of meteorological data and spatial interpolation of climate data Chiu, C.-A.;Lin, P.-H.;Lu, K.-C.; Chiu, C.-A.; Lin, P.-H.; Lu, K.-C.; PO-HSIUNG LIN
臺大學術典藏 2018-09-10T07:35:44Z MNG-metamaterial-based efficient small loop antenna Chen, S.Y.;Ouedraogo, R.O.;Temme, A.;Diaz, A.R.;Rothwell, E.J.; Chen, S.Y.; Ouedraogo, R.O.; Temme, A.; Diaz, A.R.; Rothwell, E.J.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:44Z Miniaturized composite right/left-handed coplanar waveguide antenna for dual-frequency operation Chiu, S.-C.;Chen, S.-Y.;Hsu, P.; Chiu, S.-C.; Chen, S.-Y.; Hsu, P.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:44Z Miniaturization of slot loop antenna using split-ring resonators Chi, K.-C.; Chen, S.-Y.; Hsu, P.; SHIH-YUAN CHEN; Chi, K.-C.;Chen, S.-Y.;Hsu, P.
臺大學術典藏 2018-09-10T07:35:44Z Isosceles triangular slot antenna for broadband dual polarization applications Lee, C.-H.;Chen, S.-Y.;Hsu, P.; Lee, C.-H.; Chen, S.-Y.; Hsu, P.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:44Z Wideband asymmetric slot antenna fed by coplanar waveguide Chuang, C.-H.;Chen, J.-H.;Chiu, S.-C.;Wu, H.-W.;Chen, S.-Y.;Hsu, P.; Chuang, C.-H.; Chen, J.-H.; Chiu, S.-C.; Wu, H.-W.; Chen, S.-Y.; Hsu, P.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:45Z Efficiency-enhanced and size-reduced coupled twin slots capacitively fed by conductor-backed coplanar waveguide Lee, C.-H.;Chen, S.-Y.;Hsu, P.; Lee, C.-H.; Chen, S.-Y.; Hsu, P.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:45Z Compact modified bow-tie slot antenna fed by CPW for ultra-wideband applications Lee, C.-H.;Chen, S.-Y.;Hsu, P.; Lee, C.-H.; Chen, S.-Y.; Hsu, P.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:45Z Broadband slot-type Bruce array fed by a microstrip-to-slotline T-junction Chen, S.-Y.; Chen, S.-Y.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:45Z Analysis of antenna coupling in near-field RFID systems Chen, Y.-S.;Chen, S.-Y.; Chen, Y.-S.; Chen, S.-Y.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:45Z Integrated dual planar inverted-F antenna with enhanced isolation Lee, C.-H.;Chen, S.-Y.;Hsu, P.; Lee, C.-H.; Chen, S.-Y.; Hsu, P.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:46Z A novel dual-antenna structure for UHF RFID tags Li, H.-J.;Chen, S.-Y.;Yuan, D.-S.; Li, H.-J.; Chen, S.-Y.; Yuan, D.-S.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:46Z A method to determine the structure mode and antenna mode of A RFID tag antenna scattering Ho, T.-Y.;Chen, S.-Y.;Li, H.-J.; Ho, T.-Y.; Chen, S.-Y.; Li, H.-J.; SHIH-YUAN CHEN
臺大學術典藏 2018-09-10T07:35:46Z Establishment and characterization of a continuous cell line (GF-1) derived from grouper, Epinephelus coioides (Hamilton): A cell line susceptible to grouper nervous necrosis virus (GNNV) Lo, B. J.;Hu, W. W.;Chi, S. C.;SHAU-CHI CHI;Lo, B. J.;Hu, W. W.;Lo, B.J.Chi, S. C.;Hu, W.W.;Chi, S.C.; Chi, S.C.; Hu, W.W.; Lo, B.J.Chi, S. C.; Hu, W. W.; Lo, B. J.; SHAU-CHI CHI; Chi, S. C.; Hu, W. W.; Lo, B. J.
臺大學術典藏 2018-09-10T07:35:47Z Millimeter-wave low power and miniature CMOS multicascode low-noise amplifiers with noise reduction topology Huang, B.-J.;Lin, K.-Y.;Wang, H.; Huang, B.-J.; Lin, K.-Y.; Wang, H.; HUEI WANG; Lin, Kun-You; Wang, Huei
臺大學術典藏 2018-09-10T07:35:48Z Analysis and design of reduced-size marchand rat-race hybrid for millimeter-wave compact balanced mixers in 130-nm CMOS p0rocess Lien, C.-H.;Wang, C.-H.;Lin, C.-S.;Wu, P.-S.;Lin, K.-Y.;Wang, H.; Lien, C.-H.; Wang, C.-H.; Lin, C.-S.; Wu, P.-S.; Lin, K.-Y.; Wang, H.; HUEI WANG; KUN-YOU LIN; Wang, Huei
臺大學術典藏 2018-09-10T07:35:48Z An ultra-low-power CMOS complementary VCO using three-coil transformer feedback Hsieh, C.-K.;Kao, K.-Y.;Lin, K.-Y.; Hsieh, C.-K.; Kao, K.-Y.; Lin, K.-Y.; KUN-YOU LIN
臺大學術典藏 2018-09-10T07:35:48Z Design and analysis for a 60-GHz low-noise amplifier with RF ESD protection Huang, B.-J.;Wang, C.-H.;Chen, C.-C.;Lei, M.-F.;Huang, P.-C.;Lin, K.-Y.;Wang, H.; Huang, B.-J.; Wang, C.-H.; Chen, C.-C.; Lei, M.-F.; Huang, P.-C.; Lin, K.-Y.; Wang, H.; HUEI WANG; KUN-YOU LIN
臺大學術典藏 2018-09-10T07:35:49Z A K-band CMOS low power modified Colpitts VCO using transformer feedback Hsieh, C.-K.;Kao, K.-Y.;Tseng, J.R.;Lin, K.-Y.; Hsieh, C.-K.; Kao, K.-Y.; Tseng, J.R.; Lin, K.-Y.; KUN-YOU LIN
臺大學術典藏 2018-09-10T07:35:49Z A K -band CMOS distributed doubler with current-reuse technique Lin, K.-Y.;Huang, J.-Y.;Shin, S.-C.; Lin, K.-Y.; Huang, J.-Y.; Shin, S.-C.; KUN-YOU LIN
臺大學術典藏 2018-09-10T07:35:49Z A compact low DC consumption 24-GHz cascode HEMT VGA Tsai, Z.-M.;Kao, J.-C.;Lin, K.-Y.;Wang, H.; Tsai, Z.-M.; Kao, J.-C.; Lin, K.-Y.; Wang, H.; KUN-YOU LIN
臺大學術典藏 2018-09-10T07:35:49Z A broadband balanced distributed frequency doubler with a sharing collector line Lin, K.-Y.;Huang, J.-Y.;Hsieh, C.-K.;Shin, S.-C.; Lin, K.-Y.; Huang, J.-Y.; Hsieh, C.-K.; Shin, S.-C.; KUN-YOU LIN
臺大學術典藏 2018-09-10T07:35:49Z A 71-76 GHz chip set for wireless communication in 65-nm CMOS technology Kuo, J.-J.;Lin, W.-H.;Kuo, C.-C.;Tseng, J.R.;Tsai, Z.-M.;Lin, K.-Y.;Wang, H.; Kuo, J.-J.; Lin, W.-H.; Kuo, C.-C.; Tseng, J.R.; Tsai, Z.-M.; Lin, K.-Y.; Wang, H.; KUN-YOU LIN

Showing items 1978491-1978515 of 2309033  (92362 Page(s) Totally)
<< < 79135 79136 79137 79138 79139 79140 79141 79142 79143 79144 > >>
View [10|25|50] records per page