English  |  正體中文  |  简体中文  |  2823024  
???header.visitor??? :  30267453    ???header.onlineuser??? :  1004
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

???jsp.browse.items-by-title.jump??? [ ???jsp.browse.general.jump2chinese??? ] [ ???jsp.browse.general.jump2numbers??? ] [ A B C D E F G H I J K L M N O P Q R S T U V W X Y Z ]
???jsp.browse.items-by-title.enter???   

Showing items 374906-374930 of 2310128  (92406 Page(s) Totally)
<< < 14992 14993 14994 14995 14996 14997 14998 14999 15000 15001 > >>
View [10|25|50] records per page

Institution Date Title Author
國立聯合大學 2010 Electrical bistability of polystyrene thin films embedded with low-dimensional nanostructures You-Yuan Lin, Jyh-Liang Wang, and Yi-Sheng Lai*,
國立交通大學 2014-12-08T15:10:38Z Electrical bistable memory device based on a poly(styrene-b-4-vinylpyridine) nanostructured diblock copolymer thin film Huang, Ching-Mao; Liu, Yung-Sheng; Chen, Chen-Chia; Wei, Kung-Hwa; Sheu, Jeng-Tzong
國立交通大學 2014-12-16T06:15:01Z ELECTRICAL CALIBRATED RADIOMETER HOU Kuan-Chou; Ou-Yang Mang; Chiou Jin-Chern
臺北醫學大學 2015-06-04 Electrical Cardiometry in 24-hour ultra-marathon performance Chang, Ming-Long; 張明龍
臺大學術典藏 1990-10 Electrical characterisation of the insulating property of Ta2O5 in Al-Ta2O5-SiO2-Si capacitors by a low-frequency Hwu, J.-G.; Lin, S.-T.; Hwu, J.-G.; Lin, S.-T.; HwuJG
國立臺灣大學 1990-10 Electrical characterisation of the insulating property of Ta2O5 in Al-Ta2O5-SiO2-Si capacitors by a low-frequency Hwu, J.-G.; Lin, S.-T.
國立交通大學 2017-04-21T06:49:20Z Electrical Characteristic and Power Consumption Fluctuations of Trapezoidal Bulk FinFET Devices and Circuits Induced by Random Line Edge Roughness Chen, Chieh-Yang; Huang, Wen-Tsung; Li, Yiming
國立虎尾科技大學 2006 Electrical characteristic enhancement of metal-oxide-semiconductor devices by incorporating WON buffer layer at HfTaSiON/Si interface Cheng, Chin-Lung;Chang-Liao, Kuei-Shu;Chang, Hsin-Chun;Wang, Tien-Ko
國立交通大學 2015-07-21T11:20:49Z Electrical characteristic fluctuation of 16-nm-gate high-kappa/metal gate bulk FinFET devices in the presence of random interface traps Hsu, Sheng-Chia; Li, Yiming
國立交通大學 2019-04-03T06:44:24Z Electrical characteristic fluctuation of 16-nm-gate trapezoidal bulk FinFET devices with fixed top-fin width induced by random discrete dopants Huang, Wen-Tsung; Li, Yiming
國立交通大學 2017-04-21T06:49:39Z Electrical characteristic fluctuations in sub-45nm CMOS devices Yang, Fu-Liang; Hwang, Jiunn-Ren; Li, Yiming
國立交通大學 2019-05-02T00:25:47Z Electrical Characteristic of AlGaN/GaN High-Electron-Mobility Transistors With Recess Gate Structure Shrestha, Niraj Man; Li, Yiming; Suemitsu, Tetsuya; Samukawa, Seiji
國立交通大學 2017-04-21T06:48:35Z Electrical Characteristic of InGaAs Multiple-Gate MOSFET Devices Huang, Cheng-Hao; Li, Yiming
臺大學術典藏 2018-09-10T08:36:17Z Electrical characteristics analysis at "oxide flat-band voltage" for Al-SiO 2-Si capacitor Lu, H.-W.;Chen, T.-Y.;Hwu, J.-G.; Lu, H.-W.; Chen, T.-Y.; Hwu, J.-G.; JENN-GWO HWU
國立成功大學 2012-10 Electrical characteristics analysis of various cancer cells using a microfluidic device based on single-cell impedance measurement Hong, Jhih-Lin; Lan, Kung-Chieh; Jang, Ling-Sheng
國立交通大學 2014-12-08T15:03:02Z Electrical characteristics and annealing study of boron-doped polycrystalline diamond films Chen, SH; Chen, SL; Tsai, MH; Shyu, JJ; Chen, CF
國立交通大學 2014-12-08T15:02:01Z Electrical characteristics and deep-level admittance spectroscopies of low-temperature grown GaAs p-i-n structures Chen, JF; Chen, NC; Wang, PY; Tsai, MH
國立交通大學 2014-12-08T15:16:57Z Electrical characteristics and reliability of multi-channel polycrystalline silicon thin-film transistors Shieh, MS; Sang, JY; Chen, CY; Wang, SD; Lei, TF
國立交通大學 2014-12-08T15:38:26Z Electrical characteristics and suppressed boron penetration behavior of thermally stable HfTaO gate dielectrics with polycrystalline-silicon gate Yu, XF; Zhu, CX; Li, MF; Chin, A; Du, AY; Wang, WD; Kwong, DL
臺大學術典藏 2018-09-10T08:36:17Z Electrical characteristics and temperature response of Al 2O 3 gate dielectrics with and without nitric acid compensation Hwu, J.-G.; JENN-GWO HWU; Lin, C.-C.;Hwu, J.-G.; Lin, C.-C.
國立交通大學 2014-12-08T15:08:18Z Electrical characteristics dependence on the channel fin aspect ratio of multi-fin field effect transistors Cheng, Hui-Wen; Li, Yiming
國立中山大學 2005 Electrical characteristics improvement of oxygen annealed MOCVD-TiO2 films Ming-Kwei Lee; Jung-Jie Huang; Tsung-Shun Wu
國立交通大學 2014-12-08T15:49:07Z Electrical characteristics of (Pb,Sr)TiO3 positive temperature coefficient ceramics Lu, YY; Tseng, TY
國立臺灣海洋大學 1995-02 Electrical Characteristics of (Sr 0.2 Ba 0.8 )TiO 3 Positive Temperature Coefficient of Resistivity Materials Prepared by Microwave Sintering Horng‐Yi Chang;Kuo‐Shung Liu;I‐Nan Lin
國立東華大學 2005-08 Electrical characteristics of -doping InGaP/GaAs heterojunction-emitter bipolar transistor Lin,Y. S.; Hsu,W. C.; Huang,D. H.; Wang, T. B.; Su,K. H.

Showing items 374906-374930 of 2310128  (92406 Page(s) Totally)
<< < 14992 14993 14994 14995 14996 14997 14998 14999 15000 15001 > >>
View [10|25|50] records per page