English  |  正體中文  |  简体中文  |  总笔数 :2809385  
造访人次 :  26957379    在线人数 :  844
教育部委托研究计画      计画执行:国立台湾大学图书馆
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
关于TAIR

浏览

消息

著作权

相关连结

跳至: [ 中文 ] [ 数字0-9 ] [ A B C D E F G H I J K L M N O P Q R S T U V W X Y Z ]
请输入前几个字:   

显示项目 91951-91975 / 2303271 (共92131页)
<< < 3674 3675 3676 3677 3678 3679 3680 3681 3682 3683 > >>
每页显示[10|25|50]项目

机构 日期 题名 作者
臺大學術典藏 2021-01-27T03:23:18Z A 6000-km-long Neo-Tethyan arc system with coherent magmatic flare-ups and lulls in South Asia Zhang, X.;Chung, S.-L.;Lai, Y.-M.;Ghani, A.A.;Murtadha, S.;Lee, H.-Y.;Hsu, C.-C.; Zhang, X.; Chung, S.-L.; Lai, Y.-M.; Ghani, A.A.; Murtadha, S.; Lee, H.-Y.; Hsu, C.-C.; SUN-LIN CHUNG
臺大學術典藏 2021-01-27T06:53:17Z A 6000-km-long Neo-Tethyan arc system with coherent magmatic flare-ups and lulls in South Asia Zhang, X.;Chung, S.-L.;Lai, Y.-M.;Ghani, A.A.;Murtadha, S.;Lee, H.-Y.;Hsu, C.-C.; Zhang, X.; Chung, S.-L.; Lai, Y.-M.; Ghani, A.A.; Murtadha, S.; Lee, H.-Y.; Hsu, C.-C.; SUN-LIN CHUNG
臺大學術典藏 2018-09-10T09:25:25Z A 60GHz Digitally Controlled 4-bit Phase Shifter with 6-ps Group Delay Deviation Yun-Chieh Chiang;Wei-Tsung Li;Jeng-Han Tsai;Tian-Wei Huang; Yun-Chieh Chiang; Wei-Tsung Li; Jeng-Han Tsai; Tian-Wei Huang; TIAN-WEI HUANG
國立臺灣大學 2005-12 A 60GHz LTCC transition between microstrip line and substrate integrated waveguide Yang, Tsung-Hsun; Chen, Chi-Feng; Huang, Ting-Yi; Wang, Chun-Long; Wu, Ruey-Beei
臺大學術典藏 2018-09-10T08:15:07Z A 60–110 GHz Transmission-Line Integrated SPDT Switch in 90 nm CMOS Technology Ruei-Bin Lai;Jhe-Jia Kuo;Huei Wang; Ruei-Bin Lai; Jhe-Jia Kuo; Huei Wang; HUEI WANG
國立交通大學 2014-12-08T15:14:46Z A 61 MHz 72K gates 1280x720 30FPS H.264 intra encoder Li, De-Wei; Ku, Chun-Wei; Cheng, Chao-Chung; Lin, Yu-Kun; Chang, Tian-Sheuan
國立臺灣大學 2010 A 61-Year-Old Man with Abdominal Pain for 6 Months 曾政豪; 劉志銘; 劉高郎; 王秀伯; TSENG, CHENG-HAO; LIOU, JYH-MING; LIU, KAO-LANG; WANG, HSIU-PO
臺大學術典藏 2018-09-10T08:04:11Z A 61-year-old man with abdominal pain for 6 months Tseng, C.-H. and Liou, J.-M. and Liu, K.-L. and Wang, H.-P.; KAO-LANG LIU; JYH-MING LIOU
臺大學術典藏 2010 A 61-year-old man with abdominal pain for 6 months Wang H.-P.; KAO-LANG LIU; Liou J.-M.; Tseng C.-H.; Tseng C.-H.;Liou J.-M.;Kao-Lang Liu;Wang H.-P.
臺大學術典藏 2021-05-27T08:21:01Z A 61-year-old man with abdominal pain for 6 months Tseng C.-H.; JYH-MING LIOU; Liu K.-L.; Wang H.-P.
臺大學術典藏 2021-01-15T03:47:08Z A 61-year-old man with abdominal pain for 6 months Tseng C.-H.; Liou J.-M.; Liu K.-L.; HSIU-PO WANG
臺大學術典藏 1995 A 62-GHz Monolithic InP-based HBT VCO Wang, H.;Chang, K.-W.;Lo, D.C.-W.;Tran, L.T.;Cowles, J.C.;Block, T.R.;Dow, G.S.;Oki, A.;Streit, D.C.;Allen, B.R.; Wang, H.; Chang, K.-W.; Lo, D.C.-W.; Tran, L.T.; Cowles, J.C.; Block, T.R.; Dow, G.S.; Oki, A.; Streit, D.C.; Allen, B.R.; HUEI WANG
國立成功大學 2022 A 62-minute orbital period black widow binary in a wide hierarchical triple Burdge, K.B.;Marsh, T.R.;Fuller, J.;Bellm, E.C.;Caiazzo, I.;Chakrabarty, D.;Coughlin, M.W.;De, K.;Dhillon, V.S.;Graham, M.J.;Rodr�guez-Gil, P.;Jaodand, A.D.;Kaplan, D.L.;Kara, E.;Kong, A.K.H.;Kulkarni, S.R.;Li, K.-L.;Littlefair, S.P.;Majid, W.A.;Mr�z, P.;Pearlman, A.B.;Phinney, E.S.;Roestel, J.;Simcoe, R.A.;Andreoni, I.;Drake, A.J.;Dekany, R.G.;Duev, Duev D.A.;Kool, E.C.;Mahabal, A.A.;Medford, Medford M.S.;Riddle, Riddle R.;Prince, T.A.
國立臺灣大學 2007 A 62.5–625-MHz Anti-Reset All-Digital Delay-Locked Loop Kao, Shao-Ku; Chen, Bo-Jiun; Liu, Shen-Iuan
國立交通大學 2014-12-08T15:21:06Z A 62.8 mW 4x4 MIMO-OFDM Modem with One-Symbol-Locked Timing Recovery, Frequency-Dependent I/Q Mismatch Estimation and Adaptive Equalization Sun, Ming-Fu; Lin, You-Hsien; Lai, Wei-Chi; Juan, Ta-Yang; Lee, Cheng-Yuan; Chen, Yen-Her; Chuang, Chang-Ying; Hsu, Terng-Yin
中原大學 2006-05 A 622Mb/s Clock and Data Recovery for OC-12 SONET Applications Chou, Wei-Chun;Chen, Chun-Chieh
國立臺灣大學 2004-02 A 63 GHz VCO using a standard 0.25 /spl mu/m CMOS process Liu, Ren-Chieh; Chang, Hong-Yeh; Wang, Chi-Hsueh; Wang, Huei
國立交通大學 2018-08-21T05:57:04Z A 64-CHANNEL WIRELESS NEURAL SENSING MICROSYSTEM WITH TSV-EMBEDDED MICRO-PROBE ARRAY FOR NEURAL SIGNAL ACQUISITION Huang, Yu-Chieh; Huang, Po-Tsang; Hu, Yu-Chen; Wu, Shang-Lin; You, Yan-Huei; Wang, Yung-Kuei; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chen, Kuan-Neng; Chuang, Ching-Te; Chiou, Jin-Chern
國立成功大學 2010/9/17 A 64-week, multicenter, open-label study of aripiprazole effectiveness in the management of patients with schizophrenia or schizoaffective disorder in a general psychiatric outpatient setting Hsieh, Ming-Hong; Lin, Wei-Wen; Chen, Shao-Tsu; Chen, Kao-Ching; Chen, Kuang-Peng; Chiu, Nan-Ying; Huang, Chao; Chang, Ching-Jui; Lin, Cheng-Hsiu; Lai, Te-Jen
國立交通大學 2014-12-08T15:37:31Z A 65 nm 0.165 fJ/Bit/Search 256 x 144 TCAM Macro Design for IPv6 Lookup Tables Huang, Po-Tsang; Hwang, Wei
國立交通大學 2015-12-02T02:59:33Z A 65-77 GHz low power, meander-type transmission line CMOS low-noise amplifier Huang, Zhe-Yang; Chen, Chun-Chieh; Hung, Chung-Chih
國立交通大學 2014-12-08T15:05:24Z A 65-fJ/conversion-step 0.9-V 200-kS/s rail-to-rail 8-bit successive approximation ADC Hong, Hao-Chiao; Lee, Guo-Ming
國立成功大學 2016-04 A 65-nm CMOS Low-Power Impulse Radar System for Human Respiratory Feature Extraction and Diagnosis on Respiratory Diseases Tseng, Shao-Ting; Kao, Yu-Hsien; Peng, Chun-Chieh; Liu, Jinn-Yann; Chu, Shao-Chang; Hong, Guo-Feng; Hsieh, Chi-Hsuan; Hsu, Kung-Tuo; Liu, Wen-Te; Huang, Yuan-Hao; Huang, Shi-Yu; Chu, Ta-Shun
國立臺灣大學 2004-06 A 650 GHz photonic transmitter design using CPW-fed slot antenna Yu, Yi-Chun; Chen, Shih-Yuan; Liu, An-Shyi; Wu, Ruey-Beei; Sun, Chi-Kuang
臺大學術典藏 2018-09-10T09:50:55Z A 650-pJ/bit MedRadio Transmitter with An FIR-Embedded Phase Modulator for Medical Micro-power Networks (MMNs) Y.-H. Liu;L.-G. Chen;C.-Y. Lin;T.-H. Lin; Y.-H. Liu; L.-G. Chen; C.-Y. Lin; T.-H. Lin; TSUNG-HSIEN LIN

显示项目 91951-91975 / 2303271 (共92131页)
<< < 3674 3675 3676 3677 3678 3679 3680 3681 3682 3683 > >>
每页显示[10|25|50]项目