English  |  正體中文  |  简体中文  |  2818750  
???header.visitor??? :  28344133    ???header.onlineuser??? :  404
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"huang ty"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 31-55 of 210  (9 Page(s) Totally)
<< < 1 2 3 4 5 6 7 8 9 > >>
View [10|25|50] records per page

Institution Date Title Author
國立交通大學 2014-12-08T15:49:00Z Rugged surface polycrystalline silicon film formed by rapid thermal chemical vapor deposition for dynamic random access memory stacked capacitor application Lin, M; Chang, CY; Huang, TY; Kuo, UJ
國立交通大學 2014-12-08T15:48:56Z Improving radiation hardness of EEPROM/flash cell by N2O annealing Huang, TY; Jong, FC; Chao, TS; Lin, HC; Leu, LY; Young, K; Lin, CH; Chiu, KY
國立交通大學 2014-12-08T15:48:55Z Comparison of N-2 and NH3 plasma passivation effects on polycrystalline silicon thin-film transistors Lee, YS; Lin, HY; Lei, TF; Huang, TY; Chang, TC; Chang, CY
國立交通大學 2014-12-08T15:47:36Z Improvement of reliability of metal-oxide semiconductor field-effect transistors with N2O nitrided gate oxide and N2O polysilicon gate reoxidation Lai, CS; Chao, TS; Lei, TF; Lee, CL; Huang, TY; Chang, CY
國立交通大學 2014-12-08T15:47:03Z The effects of shallow germanium halo doping on N-channel metal oxide semiconductor field effect transistors Wang, MF; Chien, CH; Chao, TS; Lin, HC; Jong, FC; Huang, TY; Chang, CY
國立交通大學 2014-12-08T15:46:47Z Oxide thickness dependence of plasma charging damage Lin, HC; Chen, CC; Wang, MF; Hsien, SK; Chien, CH; Huang, TY; Chang, CY
國立交通大學 2014-12-08T15:46:43Z Leakage current reduction of chemical-vapor-deposited Ta2O5 films on rugged polycrystalline silicon electrode for dynamic random access memory application Lin, M; Chang, CY; Huang, TY; Shieh, WY
國立交通大學 2014-12-08T15:46:37Z Reliability of ultrathin gate oxides for ULSI devices Chang, CY; Chen, CC; Lin, HC; Liang, MS; Chien, CH; Huang, TY
國立交通大學 2014-12-08T15:46:29Z Temperature-accelerated dielectric breakdown in ultrathin gate oxides Chen, CC; Chang, CY; Chien, CH; Huang, TY; Lin, HC; Liang, MS
國立交通大學 2014-12-08T15:46:25Z Highly (111) textured titanium nitride layers for sub- quarter-micrometer Al metallization Wu, WF; Lin, CC; Huang, CC; Lin, HC; Chang, TC; Yang, RP; Huang, TY
國立交通大學 2014-12-08T15:46:20Z A novel lightly doped drain polysilicon thin-film transistor with oxide sidewall spacer formed by one-step selective liquid phase deposition Shih, PS; Chang, CY; Chang, TC; Huang, TY; Peng, DZ; Yeh, CF
國立交通大學 2014-12-08T15:46:15Z Characterization and modeling of out-diffusion of manganese and zinc impurities from deep ultraviolet photoresist Wang, MY; Ko, FH; Wang, TK; Yang, CC; Huang, TY
國立交通大學 2014-12-08T15:46:05Z A multilevel interconnect technology with intrametal air gap for high-performance 0.25-mu m-and-beyond devices manufacturing Lin, M; Chang, CY; Huang, TY; Lin, ML
國立交通大學 2014-12-08T15:46:00Z The combined effects of nitrogen implantation at S/D extension and N2O oxide on 0.18 mu m N- and P-metal oxide field effect transistors (MOSFETs) Chao, TS; Chang, SJ; Chien, CH; Lin, HC; Huang, TY; Chang, CY
國立交通大學 2014-12-08T15:45:52Z Improved immunity to plasma damage in ultrathin nitrided oxides Chen, CC; Lin, HC; Chang, CY; Liang, MS; Chien, CH; Hsien, SK; Huang, TY
國立交通大學 2014-12-08T15:45:46Z Effects of polysilicon gate doping concentration on plasma charging damage in ultrathin gate oxides Chen, CC; Lin, HC; Chang, CY; Huang, TY; Chien, CH; Liang, MS
國立交通大學 2014-12-08T15:45:46Z The effects of microcrystalline silicon film structure on low-high-low band-gap thin film transistor Chang, CY; Lee, YS; Huang, TY; Shih, PS; Lin, CW
國立交通大學 2014-12-08T15:45:36Z High performance 0.1 mu m dynamic threshold MOSFET using indium channel implantation Chang, SJ; Chang, CY; Chao, TS; Huang, TY
國立交通大學 2014-12-08T15:45:26Z Characterization of NH3 plasma-treated Ba0.7Sr0.3TiO3 thin films Wuu, DS; Horng, RH; Liao, FC; Leu, CC; Huang, TY; Sze, SM; Chen, HY; Chang, CY
國立交通大學 2014-12-08T15:45:26Z Effects of O-2 plasma treatment on the electric and dielectric characteristics of Ba0.7Sr0.3TiO3 thin films Leu, CC; Chan, SH; Chen, HY; Horng, RH; Wuu, DS; Wu, LH; Huang, TY; Chang, CY; Sze, SM
國立交通大學 2014-12-08T15:45:19Z Improvements of amorphous-silicon inverted-staggered thin-film transistors using high-temperature-deposited Al gate with chemical mechanical polishing Shih, PS; Chang, TC; Liang, CY; Huang, TY; Chang, CY
國立交通大學 2014-12-08T15:45:15Z Improved ultrathin gate oxide integrity in p(+)-polysilicon-gate p-channel metal oxide semiconductor with medium-dose fluorine implantation Chen, CC; Lin, HC; Chang, CY; Huang, TY; Chien, CH; Liang, MS
國立交通大學 2014-12-08T15:45:10Z Dimensional effects on the drain current of n- and p-channel polycrystalline silicon thin film transistors Shih, PS; Zan, HW; Chang, TC; Huang, TY; Chang, CY
國立交通大學 2014-12-08T15:45:07Z Study of boron effects on the reaction of Co and Si1-xGex at various temperatures Huang, HJ; Chen, KM; Chang, CY; Huang, TY; Chang, TC; Chen, LP; Huang, GW
國立交通大學 2014-12-08T15:45:05Z Plasma-induced charging damage in ultrathin (3-nm) gate oxides Chen, CC; Lin, HC; Chang, CY; Liang, MS; Chien, CH; Hsien, SK; Huang, TY; Chao, TS

Showing items 31-55 of 210  (9 Page(s) Totally)
<< < 1 2 3 4 5 6 7 8 9 > >>
View [10|25|50] records per page