English  |  正體中文  |  简体中文  |  Total items :2823024  
Visitors :  30249280    Online Users :  947
Project Commissioned by the Ministry of Education
Project Executed by National Taiwan University Library
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
About TAIR

Browse By

News

Copyright

Related Links

"li james chien mo"

Return to Browse by Author
Sorting by Title Sort by Date

Showing items 1-20 of 20  (1 Page(s) Totally)
1 
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2022-02-21T23:31:00Z Improving Volume Diagnosis and Debug with Test Failure Clustering and Reorganization Wu, Mu Ting; Kuo, Cheng Sian; Li, James Chien Mo; Nigh, Chris; Bhargava, Gaurav
臺大學術典藏 2022-02-21T23:31:00Z Minimum Operating Voltage Prediction in Production Test Using Accumulative Learning Kuo, Yen Ting; Lin, Wei Chen; Chen, Chun; Hsieh, Chao Ho; Li, James Chien Mo; Jia-Wei Fang, Eric; Hsueh, Sung S.Y.
臺大學術典藏 2021-03-03T05:33:58Z QATG: Automatic Test Generation for Quantum Circuits Wu, Chen Hung; Hsieh, Cheng Yun; JIUN-YUN LI; Li, James Chien Mo
臺大學術典藏 2020-06-29T01:20:12Z Physical-aware diagnosis of multiple interconnect defects. Chen, Po-Hao;Lee, Chi-Lin;Chen, Jing-Yu;Chen, Po-Wei;Li, James Chien-Mo; Chen, Po-Hao; Lee, Chi-Lin; Chen, Jing-Yu; Chen, Po-Wei; Li, James Chien-Mo; CHIEN-MO LI
臺大學術典藏 2020-06-29T01:20:11Z Fault Simulation and Test Pattern Generation for Cross-gate Defects in FinFET Circuits. Chen, Yo-Wei; Pan, Cheng-Sheng; Li, James Chien-Mo; CHIEN-MO LI; Ho, Yu-Hao; Chiang, Kuan-Ying; Chiang, Kuan-Ying;Ho, Yu-Hao;Chen, Yo-Wei;Pan, Cheng-Sheng;Li, James Chien-Mo
臺大學術典藏 2020-06-29T01:20:11Z Test Pattern Compression for Probabilistic Circuits. Chang, Chih-Ming;Yang, Kai-Jie;Li, James Chien-Mo;Chen, Hung; Chang, Chih-Ming; Yang, Kai-Jie; Li, James Chien-Mo; Chen, Hung; CHIEN-MO LI
臺大學術典藏 2020-06-29T01:20:11Z GPU-based timing-aware test generation for small delay defects. Liao, Kuan-Yu;Chen, Po-Juei;Lin, Ang-Feng;Li, James Chien-Mo;Hsiao, Michael S.;Wang, Laung-Terng; Liao, Kuan-Yu; Chen, Po-Juei; Lin, Ang-Feng; Li, James Chien-Mo; Hsiao, Michael S.; Wang, Laung-Terng; CHIEN-MO LI
臺大學術典藏 2020-06-29T01:20:10Z Test Generation of Path Delay Faults Induced by Defects in Power TSV. Shih, Chi-Jih;Hsieh, Shih-An;Lu, Yi-Chang;Li, James Chien-Mo;Wu, Tzong-Lin;Chakrabarty, Krishnendu; Shih, Chi-Jih; Hsieh, Shih-An; Lu, Yi-Chang; Li, James Chien-Mo; Wu, Tzong-Lin; Chakrabarty, Krishnendu; CHIEN-MO LI
臺大學術典藏 2020-06-29T01:20:09Z Very-Low-Voltage Testing of Amorphous Silicon TFT Circuits. Shen, Shiue-Tsung;Liu, Wei-Hsiao;Ma, En-Hua;Li, James Chien-Mo;Cheng, I-Chun; Shen, Shiue-Tsung; Liu, Wei-Hsiao; Ma, En-Hua; Li, James Chien-Mo; Cheng, I-Chun; CHIEN-MO LI
臺大學術典藏 2020-06-16T06:31:35Z BIST design optimization for large-scale embedded memory cores. Chien, Tzuo-Fan;Chao, Wen-Chi;Li, James Chien-Mo;Chang, Yao-Wen;Liao, Kuan-Yu;Chang, Ming-Tung;Tsai, Min-Hsiu;Tseng, Chih-Mou; Chien, Tzuo-Fan; Chao, Wen-Chi; Li, James Chien-Mo; Chang, Yao-Wen; Liao, Kuan-Yu; Chang, Ming-Tung; Tsai, Min-Hsiu; Tseng, Chih-Mou; YAO-WEN CHANG
臺大學術典藏 2018-09-10T08:47:24Z A Parallel Test Pattern Generation Algorithm to Meet Multiple Quality Objectives Liao, Kuan-Yu; Chang, Chia-Yuan; Li, James Chien-Mo; CHIEN-MO LI
國立臺灣大學 2008 Survey of Scan Chain Diagnosis Huang, Yu; Guo, Ruifeng; Cheng, Wu-Tung; Li, James Chien-Mo
國立臺灣大學 2008 Effective and Economic Phase Noise Testing for Single-Chip TV Tuners Li, James Chien-Mo; Lin, Po-Chou; Chiang, Chih-Ming; Pan, Chuo-Jan; Tseng, Chao-Wen
國立臺灣大學 2007 Column Parity Row Selection (CPRS) BIST Diagnosis Technique: Modeling and Analysis Li, James Chien-Mo; Lin, Hung-Mao; Wang, Fang-Min
國立臺灣大學 2007 Design and Chip Implementation of the Segment Weighted Random BIST for Low Power Testing Lee, Chun-Yi; Li, James Chien-Mo
國立臺灣大學 2005 Diagnosis of Timing Faults in Scan Chains Using Single Excitation Patterns Li, James Chien-Mo
國立臺灣大學 2005 Diagnosis of Single stuck-at Faults and Multiple Timing Faults in Scan Chains Li, James Chien-Mo
國立臺灣大學 2005 Diagnosis of Multiple Hold-time and Setup-time Faults in Scan Chains Li, James Chien-Mo
國立臺灣大學 2005 Diagnosis of Resistive-Open and Stuck-Open Defects in Digital CMOS ICs Li, James Chien-Mo; McCluskey, Edward J.
國立臺灣大學 2004 A Design for Testability Technique for Low Power Delay Fault Testing Li, James Chien-Mo

Showing items 1-20 of 20  (1 Page(s) Totally)
1 
View [10|25|50] records per page