English  |  正體中文  |  简体中文  |  2816861  
???header.visitor??? :  27618595    ???header.onlineuser??? :  611
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"shih chieh chang"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 1-25 of 40  (2 Page(s) Totally)
1 2 > >>
View [10|25|50] records per page

Institution Date Title Author
元智大學 Jun-18 Contactless Testing for Prebond Interposers 陳勇志; Kai-Hsiang Hsu; You-Luen Lee; Shih-Chieh Chang
元智大學 Jan-21 Dynamic Workload Allocation for Edge Computing 陳勇志; Yi-Wen Hung; Chi Lo; Shih-Chieh Chang
元智大學 2020/3/9 Accuracy Tolerant Neural Networks Under Aggressive Power Optimization 陳勇志; Xiang-Xiu Wu; Yi-Wen Hung; Shih-Chieh Chang
臺大學術典藏 2019-12-25T08:08:28Z Promoting Self-Care Ability of Poor Controlled Type II Diabetes Patients by Shared-Care Program. Shih-Chieh Chang; Hsin-Yang Huang; Hui-Yu Tseng; Yu-Chun Tsai; YEN-YUAN CHEN; Liarng-Zung Laih
南台科技大學 2019-06 臺灣 生活文化色彩 的減鬱設計 之 應用 探討 – 以 臺中 空污議題為例 Shih-Chieh Chang;Te-Jen Lien
國立交通大學 2014-12-12T02:30:01Z 多層導體連線銅電鍍與電拋光製程之研究 張世杰; Shih-Chieh Chang; 馮明憲; 戴寶通; 謝嘉民; Ming-Shiann Feng; Bau-Tung Dai; Jia-Ming Shieh
國立交通大學 2014-12-12T02:22:33Z 次0.15 微米溝渠與引洞之電鍍銅技術 張世杰; Shih-Chieh Chang; 馮明憲; 戴寶通; Ming-Shiann Feng; Bau-Tong Dai
國立臺灣師範大學 2014-10-30T09:35:10Z PFAC Library: GPU-based string matching algorithm heng-Hung Lin; Chen-Hsiung Liu; Lung-Sheng Chien; Shih-Chieh Chang; Wing-Kai Hon
國立臺灣師範大學 2014-10-30T09:35:09Z Design and design automation for engineering change targeting at low mask cost Cheng-hung Lin; Yung-Chang Huang; Yu-Min Kuo; Shih-Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:09Z Design and Design Automation of Rectification Logic for Engineering Change Cheng-Hung Lin; Yung-Chang Huang; Shih-Chieh Chang; Wen-Ben Jone
國立臺灣師範大學 2014-10-30T09:35:09Z Biased Random Vector Generator based on Circuit Structure Yu-Min Kuo; heng-Hung Lin; Chun-Yao Wang; Shih-Chieh Chang; Pei-Hsin Ho
國立臺灣師範大學 2014-10-30T09:35:09Z Optimization of Regular Expression Pattern Matching Circuits on FPGA Cheng-Hung Lin; Chih-Tsun Huang; Chang-Ping Jiang; Shih Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:09Z Optimization of Pattern Matching Circuits for Network Intrusion Detection System Cheng-Hung Lin; Chih-Tsun Huang; Chang-Ping Jiang; Shih Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:09Z Intelligent Random Vector Generator Based on Probability Analysis of Circuit Structure Yu-Min Kuo; heng-Hung Lin; Chun-Yao Wang; Shih-Chieh Chang; and Pei-Hsin Ho
國立臺灣師範大學 2014-10-30T09:35:09Z Optimization of Pattern Matching Algorithm for Memory Based Architecture Cheng-Hung Lin; Yu-Tang Tai; Shih-Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:09Z Design and Verification for Dual Issue Digital Signal Processor Cheng-Hung Lin; Chun-Yu Lin; Shih-Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:09Z Optimization of String Matching Algorithm on GPU Cheng-Hung Lin; Sheng-Yu Tsai; Chen-Hsiung Liu; Shih-Chieh Chang; Jyuo-Min Shyu
國立臺灣師範大學 2014-10-30T09:35:09Z Accelerating String Matching Using Multi-threaded Algorithm on GPU Cheng-Hung Lin; Sheng-Yu Tsai; Chen-Hsiung Liu; Shih-Chieh Chang; Jyuo-Min Shyu
國立臺灣師範大學 2014-10-30T09:35:09Z Biased Random Vector Generator based on Circuit Structure Yu-Min Kuo; heng-Hung Lin; Chun-Yao Wang; Shih-Chieh Chang; Pei-Hsin Ho
國立臺灣師範大學 2014-10-30T09:35:09Z Optimization of Pattern Matching Circuits for Network Intrusion Detection System Cheng-Hung Lin; Chih-Tsun Huang; Chang-Ping Jiang; Shih Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:09Z Design and Verification for Dual Issue Digital Signal Processor Cheng-Hung Lin; Chun-Yu Lin; Shih-Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:08Z Optimization of Pattern Matching Circuits for Regular Expression on FPGA Cheng-Hung Lin; Chih-Tsun Huang; Chang-Ping Jiang; Shih Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:08Z Efficient Pattern Matching Algorithm for Memory Architecture Cheng-Hung Lin; Shih-Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:08Z Accelerating Pattern Matching Using a Novel Parallel Algorithm on GPUs Cheng-Hung Lin; hen-Hsiung Liu; Lung-Sheng Chien; Shih-Chieh Chang
國立臺灣師範大學 2014-10-30T09:35:08Z Efficient Pattern Matching Algorithm for Memory Architecture Cheng-Hung Lin; Shih-Chieh Chang

Showing items 1-25 of 40  (2 Page(s) Totally)
1 2 > >>
View [10|25|50] records per page