English  |  正體中文  |  简体中文  |  Total items :2822924  
Visitors :  30086591    Online Users :  866
Project Commissioned by the Ministry of Education
Project Executed by National Taiwan University Library
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
About TAIR

Browse By

News

Copyright

Related Links

"yang chia lin"

Return to Browse by Author
Sorting by Title Sort by Date

Showing items 81-105 of 190  (8 Page(s) Totally)
<< < 1 2 3 4 5 6 7 8 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2018-09-10T04:31:08Z Smart cache: An energy-efficient D-cache for a software MPEG-2 video decoder Yang, Chia-Lin;Tseng, Hung-Wei;Ho, Chia-Chiang; Yang, Chia-Lin; Tseng, Hung-Wei; Ho, Chia-Chiang; CHIA-LIN YANG
臺大學術典藏 2018-09-10T03:28:17Z Push vs. pull: Data movement for linked data structures Yang, Chia-Lin; Lebeck, Alvin R.; CHIA-LIN YANG
國立交通大學 2018-08-21T05:57:06Z Analyzing OpenCL 2.0 Workloads Using a Heterogeneous CPU-GPU Simulator Wang, Li; Tsai, Ren-Wei; Wang, Shao-Chung; Chen, Kun-Chih; Wang, Po-Han; Cheng, Hsiang-Yun; Lee, Yi-Chung; Shu, Sheng-Jie; Yang, Chun-Chieh; Hsu, Min-Yih; Kan, Li-Chen; Lee, Chao-Lin; Yu, Tzu-Chieh; Peng, Rih-Ding; Yang, Chia-Lin; Hwang, Yuan-Shin; Lee, Jenq-Kuen; Tsao, Shiao-Li; Ouhyoung, Ming
臺大學術典藏 2018-07-05T01:59:29Z A Space-Efficient Caching Mechanism for Flash-Memory Address Translation Yang, Chia-Lin; Kuo, Tei-Wei; Wu, Chin-Hsien; Wu, Chin-Hsien; Kuo, Tei-Wei; Yang, Chia-Lin
臺大學術典藏 2018-07-05T01:55:22Z Tolerating Memory Latency Through Push Prefetching for Pointer-Intensive Applications Lee, Chien-Hao; Tseng, Hung-Wei; Lebeck, Alvin R.; Yang, Chia-Lin; Lebeck, Alvin R.; Tseng, Hung-Wei; Lee, Chien-Hao; Yang, Chia-Lin
臺大學術典藏 2018-07-05T01:53:24Z Hierarchical Value Cache Encoding for Off-Chip Data Bus King, Ku-Jei; Yang, Chia-Lin; Lin, Chung-Hsiang; Lin, Chung-Hsiang; Yang, Chia-Lin; King, Ku-Jei
臺大學術典藏 2018 DL-RSIM: a simulation framework to enable reliable ReRAM-based accelerators for deep learning. Li, Hsiang-Pang; Chang, Meng-Fan; CHIA-LIN YANG; Chang, Hung-Sheng; Lin, Meng-Yao;Cheng, Hsiang-Yun;Lin, Wei-Ting;Yang, Tzu-Hsien;Tseng, I-Ching;Yang, Chia-Lin;Hu, Han-Wen;Chang, Hung-Sheng;Li, Hsiang-Pang;Chang, Meng-Fan; Lin, Meng-Yao; Cheng, Hsiang-Yun; Lin, Wei-Ting; Yang, Tzu-Hsien; Tseng, I-Ching; Yang, Chia-Lin; Hu, Han-Wen
國立交通大學 2017-04-21T06:56:47Z Improving Read Performance of NAND Flash SSDs by Exploiting Error Locality Liu, Ren-Shuo; Chuang, Meng-Yen; Yang, Chia-Lin; Li, Cheng-Hsuan; Ho, Kin-Chu; Li, Hsiang-Pang
臺大學術典藏 2017 Recap of the 2017 International Symposium on Low Power Electronics and Design (ISLPED) CHIA-LIN YANG; Garrett, David; Yang, Chia-Lin
國立成功大學 2016-10 Effect of annealing temperature on the optoelectronic characteristic of Al and Ga co-doping ZnO thin films Tsai, Tang-Yi; Chen, Tao-Hsing; Tu, Sheng-Lung; Su, Yen-Hsun; Shen, Yun-Hwei; Yang, Chia-Lin
國立高雄應用科技大學 2016 不同製程參數對Mg:GZO透明導電膜之光電特性的影響 楊佳霖; Yang, Chia-Lin
國立高雄應用科技大學 2016 居民的的社區意識、活動認同感與活動涉入之相關研究-以台東縣熱氣球嘉年華為例 楊佳陵; YANG, CHIA- LIN
臺大學術典藏 2015 Fine-grained write scheduling for PCM performance improvement under write power budget. CHIA-LIN YANG; Li, Hsiang-Pang; Lai, Chun-Hao;Yu, Shun-Chih;Yang, Chia-Lin;Li, Hsiang-Pang; Lai, Chun-Hao; Yu, Shun-Chih; Yang, Chia-Lin
臺大學術典藏 2015 Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs. Lin, Ping-Sheng; Lu, Yi-Chang; CHIA-LIN YANG; Chen, Yi-Jung;Yang, Chia-Lin;Lin, Ping-Sheng;Lu, Yi-Chang; Chen, Yi-Jung; Yang, Chia-Lin
臺大學術典藏 2012 Age-based PCM wear leveling with nearly zero search cost. CHIA-LIN YANG; Wang, Cheng-Yuan Michael; Chen, Chi-Hao; Hsiu, Pi-Cheng; Kuo, Tei-Wei; Yang, Chia-Lin
臺大學術典藏 2012 A cycle-level SIMT-GPU simulation framework. Wang, Po-Han; Lo, Chien-Wei; Yang, Chia-Lin; Cheng, Yu-Jung; CHIA-LIN YANG
臺大學術典藏 2012 Age-based PCM wear leveling with nearly zero search cost. Chen, Chi-Hao; Hsiu, Pi-Cheng; Kuo, Tei-Wei; Yang, Chia-Lin; Wang, Cheng-Yuan Michael; TEI-WEI KUO
國立成功大學 2011-08-05 探討Twist Snail Slug和E-cadherin於癌化過程及葡萄糖代謝在子宮頸癌扮演的角色 楊佳霖; Yang, Chia-Lin
國立成功大學 2010-06-09 車內視線高低影響乘坐者情緒變化之研究 楊佳霖; Yang, Chia-Lin
臺大學術典藏 2010 PM-COSYN: PE and memory co-synthesis for MPSoCs. Yang, Chia-Lin; Chen, Yi-Jung; Chen, Yi-Jung;Yang, Chia-Lin;Wang, Po-Han; Wang, Po-Han; CHIA-LIN YANG
臺大學術典藏 2010 Dynamic thermal management for networked embedded systems under harsh ambient temperature variation. Chang, Naehyuck; CHIA-LIN YANG; Yang, Chia-Lin; Kim, Younghyun; Shin, Donghwa; Chen, Jian-Jia; Park, Sangyoung; Park, Sangyoung;Chen, Jian-Jia;Shin, Donghwa;Kim, Younghyun;Yang, Chia-Lin;Chang, Naehyuck
國立臺灣大學 2009-12 A Multi-core Architecture Based Parallel Framework for H.264/AVC Deblocking Wang, Sung-Wen; Yang, Shu-Sian; Chen, Hong-Ming; Yang, Chia-Lin; Wu, Ja-Ling
臺大學術典藏 2009-12 A Multi-core Architecture Based Parallel Framework for H.264/AVC Deblocking Wang, Sung-Wen; Yang, Shu-Sian; Chen, Hong-Ming; Yang, Chia-Lin; Wu, Ja-Ling; Wang, Sung-Wen; Yang, Shu-Sian; Chen, Hong-Ming; Yang, Chia-Lin; Wu, Ja-Ling
國立臺灣大學 2009 An Architectural Co-Synthesis Algorithm for Energy-Aware Network-on-Chip Design Chen, Yi-Jung; Yang, Chia-Lin; Chang, Yen-Sheng
國立臺灣大學 2009 A Predictive Shutdown Technique for GPU Shader Processors Wang, Po-Han; Chen, Yen-Ming; Yang, Chia-Lin; Cheng, Yu-Jung

Showing items 81-105 of 190  (8 Page(s) Totally)
<< < 1 2 3 4 5 6 7 8 > >>
View [10|25|50] records per page