English  |  正體中文  |  简体中文  |  Total items :2817464  
Visitors :  27762754    Online Users :  360
Project Commissioned by the Ministry of Education
Project Executed by National Taiwan University Library
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
About TAIR

Browse By

News

Copyright

Related Links

"yen min lee"

Return to Browse by Author
Sorting by Title Sort by Date

Showing items 1-36 of 36  (1 Page(s) Totally)
1 
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2022-01-03T08:01:19Z The parallelized finite-difference time-domain simulation for the electromagnetic scattering problems Yen-Min Lee; Yu-Cheng Chue;  Jia-Han Li*; Tony Wen-Hann Sheu; JIA-HAN LI
臺大學術典藏 2022-01-03T08:01:17Z Iterative finite-difference method for analyzing fabrication errors of lens-misaligned electron-beam direct-write lithography system Yen-Min Lee;  Jia-Han Li*; Sheng-Yung Chen; Shiau-Yi Ma; Kuen-Yu Tsai; Tony Wen-Hann Sheu; Jia-Yush Yen; JIA-HAN LI
臺大學術典藏 2022-01-03T08:01:17Z Fabrication of metrology test structures with programmed line edge roughness using electron beam direct write Fu-Min Wang; Kuen-Yu Tsai;  Jia-Han Li; Alek C. Chen; Yen-Min Lee; Yu-Tian Shen; Hsin-Hung Cheng; Chieh-Hsiang Kuan; JIA-HAN LI
臺大學術典藏 2022-01-03T08:01:15Z Optical metrology of shape-varying nano-patterned gratings by analyzing the scattering signals in their pupil images Yen-Min Lee;  Jia-Han Li*; Fu-Min Wang; Hsin-Hung Cheng; Yu-Tian Shen;   Kuen-Yu Tsai; Alek C. Chen; JIA-HAN LI
臺大學術典藏 2022-01-03T08:01:14Z Solution-refined method for solving large-scale computation problems: Taking the Laplace’s equation as an example Yen-Min Lee;  Jia-Han Li*; Tony Wen-Hann Sheu; Kuen-Yu Tsai; Jia-Yush Yen; JIA-HAN LI
臺大學術典藏 2022-01-03T08:01:13Z Solution-refined method for solving large-scale electrostatic problems: Taking the electron-beam direct-write lithography system as an example Yen-Min Lee;  Jia-Han Li; Tony Wen-Hann Sheu; Kuen-Yu Tsai; Jia-Yu Yen; JIA-HAN LI
臺大學術典藏 2022-01-03T08:01:08Z Supplementary zones-surrounded Fresnel zone plate Yen-Min Lee; Szu-Hung Chen; Chen-Pin Hsu; Pei-Chuen Chiou; Kuen-Yu Tsai; Tien-Tung Chung; Cheng-Han Tsai; Zhan-Yu Liu;  Jia-Han Li^*; JIA-HAN LI
臺大學術典藏 2018-09-10T15:26:03Z Supplementary zones-surrounded Fresnel zone plate with enhanced optical resolution Yen-Min Lee;Szu-Hung Chen;Chen-Pin Hsu;Pei-Chuen Chiou;Kuen-Yu Tsai;Tien-Tung Chung;Cheng-Han Tsai;Zhan-Yu Liu;Jia-Han Li; Yen-Min Lee; Szu-Hung Chen; Chen-Pin Hsu; Pei-Chuen Chiou; Kuen-Yu Tsai; Tien-Tung Chung; Cheng-Han Tsai; Zhan-Yu Liu; Jia-Han Li; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:26:03Z Supplementary zones-surrounded Fresnel zone plate with enhanced optical resolution Yen-Min Lee;Szu-Hung Chen;Chen-Pin Hsu;Pei-Chuen Chiou;Kuen-Yu Tsai;Tien-Tung Chung;Cheng-Han Tsai;Zhan-Yu Liu;Jia-Han Li; Yen-Min Lee; Szu-Hung Chen; Chen-Pin Hsu; Pei-Chuen Chiou; Kuen-Yu Tsai; Tien-Tung Chung; Cheng-Han Tsai; Zhan-Yu Liu; Jia-Han Li; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:26:03Z Multilayer Mirror Structure (多層反射鏡結構) Jia-Han Li;Yen-Min Lee;Kuen-Yu Tsai; Jia-Han Li; Yen-Min Lee; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:26:03Z Multilayer Mirror Structure (多層反射鏡結構) Jia-Han Li;Yen-Min Lee;Kuen-Yu Tsai; Jia-Han Li; Yen-Min Lee; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:00:24Z Refractive index and effective thickness measurement system for the RGB color filter coatings with absorption and scattering properties Yen-Min Lee;Hsin-Hung Cheng;Jia-Han Li;Kuen-Yu Tsai;Yu-Tian Sheng; Yen-Min Lee; Hsin-Hung Cheng; Jia-Han Li; Kuen-Yu Tsai; Yu-Tian Sheng; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:00:24Z Refractive index and effective thickness measurement system for the RGB color filter coatings with absorption and scattering properties Yen-Min Lee;Hsin-Hung Cheng;Jia-Han Li;Kuen-Yu Tsai;Yu-Tian Sheng; Yen-Min Lee; Hsin-Hung Cheng; Jia-Han Li; Kuen-Yu Tsai; Yu-Tian Sheng; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:00:24Z Optical scatterometry system for detecting specific line edge roughness of resist gratings subjected to detector noises Yen-Min Lee;Jia-Han Li;Fu-Min Wang;Hsin-Hung Cheng;Yu-Tian Shen;Kuen-Yu Tsai;Jason Shieh;Alek Chen; Yen-Min Lee; Jia-Han Li; Fu-Min Wang; Hsin-Hung Cheng; Yu-Tian Shen; Kuen-Yu Tsai; Jason Shieh; Alek Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T15:00:24Z Optical scatterometry system for detecting specific line edge roughness of resist gratings subjected to detector noises Yen-Min Lee;Jia-Han Li;Fu-Min Wang;Hsin-Hung Cheng;Yu-Tian Shen;Kuen-Yu Tsai;Jason Shieh;Alek Chen; Yen-Min Lee; Jia-Han Li; Fu-Min Wang; Hsin-Hung Cheng; Yu-Tian Shen; Kuen-Yu Tsai; Jason Shieh; Alek Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:34Z Void-based photonic crystal mirror with high-reflectivity and low-dissipation for extreme-ultraviolet radiation Yen-Min Lee;Jia-Han Li;Kuen-Yu Tsai; Yen-Min Lee; Jia-Han Li; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:50:34Z Void-based photonic crystal mirror with high-reflectivity and low-dissipation for extreme-ultraviolet radiation Yen-Min Lee;Jia-Han Li;Kuen-Yu Tsai; Yen-Min Lee; Jia-Han Li; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z Direct-scatterometry-enabled lithography model calibration Chih-Yu Chen;Kuen-Yu Tsai;Yu-Tian Shen;Yen-Min Lee;Jia-Han Li;Jason J. Shieh;Alek C. Chen; Chih-Yu Chen; Kuen-Yu Tsai; Yu-Tian Shen; Yen-Min Lee; Jia-Han Li; Jason J. Shieh; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z Direct-scatterometry-enabled lithography model calibration Chih-Yu Chen;Kuen-Yu Tsai;Yu-Tian Shen;Yen-Min Lee;Jia-Han Li;Jason J. Shieh;Alek C. Chen; Chih-Yu Chen; Kuen-Yu Tsai; Yu-Tian Shen; Yen-Min Lee; Jia-Han Li; Jason J. Shieh; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z Solution-refined method for solving large-scale computation problems: Taking the Laplace's equation as an example Yen-Min Lee;Jia-Han Li;Tony Wen-Hann Sheu;Kuen-Yu Tsai;Jia-Yush Yen; Yen-Min Lee; Jia-Han Li; Tony Wen-Hann Sheu; Kuen-Yu Tsai; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T09:25:00Z Solution-refined method for solving large-scale computation problems: Taking the Laplace's equation as an example Yen-Min Lee;Jia-Han Li;Tony Wen-Hann Sheu;Kuen-Yu Tsai;Jia-Yush Yen; Yen-Min Lee; Jia-Han Li; Tony Wen-Hann Sheu; Kuen-Yu Tsai; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:35Z Multilayer Mirror Structure Jia-Han Li;Yen-Min Lee;Kuen-Yu Tsai; Jia-Han Li; Yen-Min Lee; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:35Z Multilayer Mirror Structure Jia-Han Li;Yen-Min Lee;Kuen-Yu Tsai; Jia-Han Li; Yen-Min Lee; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:33Z Optical metrology of shape-varying nano-patterned gratings by analyzing the scattering signals in their pupil images Yen-Min Lee; Jia-Han Li; Fu-Min Wang; Hsin-Hung Cheng; Yu-Tian Shen; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:46:32Z Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effects Philip C. W. Ng; Kuen-Yu Tsai; Yen-Min Lee; Fu-Min Wang; Jia-Han Li; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Fabrication of metrology test structures with programmed line edge roughness using electron beam direct write Fu-Min Wang;Kuen-Yu Tsai;Jia-Han Li;Alek C. Chen;Yen-Min Lee;Yu-Tian Shen;Hsin-Hung Cheng;Chieh-Hsiang Kuan; Fu-Min Wang; Kuen-Yu Tsai; Jia-Han Li; Alek C. Chen; Yen-Min Lee; Yu-Tian Shen; Hsin-Hung Cheng; Chieh-Hsiang Kuan; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Fabrication of metrology test structures with programmed line edge roughness using electron beam direct write Fu-Min Wang;Kuen-Yu Tsai;Jia-Han Li;Alek C. Chen;Yen-Min Lee;Yu-Tian Shen;Hsin-Hung Cheng;Chieh-Hsiang Kuan; Fu-Min Wang; Kuen-Yu Tsai; Jia-Han Li; Alek C. Chen; Yen-Min Lee; Yu-Tian Shen; Hsin-Hung Cheng; Chieh-Hsiang Kuan; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Iterative finite-difference method for analyzing fabrication errors of lens-misaligned electron-beam direct-write lithography system Yen-Min Lee;Jia-Han Li;Sheng-Yung Chen;Shiau-Yi Ma;Kuen-Yu Tsai;Tony W. H. Sheu;Jia-Yush Yen; Yen-Min Lee; Jia-Han Li; Sheng-Yung Chen; Shiau-Yi Ma; Kuen-Yu Tsai; Tony W. H. Sheu; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Iterative finite-difference method for analyzing fabrication errors of lens-misaligned electron-beam direct-write lithography system Yen-Min Lee;Jia-Han Li;Sheng-Yung Chen;Shiau-Yi Ma;Kuen-Yu Tsai;Tony W. H. Sheu;Jia-Yush Yen; Yen-Min Lee; Jia-Han Li; Sheng-Yung Chen; Shiau-Yi Ma; Kuen-Yu Tsai; Tony W. H. Sheu; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:20Z Efficient scattering simulations for equivalent extreme ultraviolet mask multilayer structures by modified transmission line theory and finite-difference time-domain method Yen-Min Lee;Jia-Han Li;Philip C. W. Ng;Ting-Hang Pei;Fu-Min Wang;Kuen-Yu Tsai;Alek C. Chen; Yen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Hang Pei; Fu-Min Wang; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:20Z Efficient scattering simulations for equivalent extreme ultraviolet mask multilayer structures by modified transmission line theory and finite-difference time-domain method Yen-Min Lee;Jia-Han Li;Philip C. W. Ng;Ting-Hang Pei;Fu-Min Wang;Kuen-Yu Tsai;Alek C. Chen; Yen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Hang Pei; Fu-Min Wang; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:07Z Using transmission line theory to calculate equivalent refractive index of EUV mask multilayer structures for efficient scattering simulation by finite-difference time-domain method Yen-Min Lee;Jia-Han Li;Philip C. W. Ng;Ting-Han Pei;Fu-Min Wang;Kuen-Yu Tsai;Alek C. Chen; Yen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Han Pei; Fu-Min Wang; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:07Z Using transmission line theory to calculate equivalent refractive index of EUV mask multilayer structures for efficient scattering simulation by finite-difference time-domain method Yen-Min Lee;Jia-Han Li;Philip C. W. Ng;Ting-Han Pei;Fu-Min Wang;Kuen-Yu Tsai;Alek C. Chen; Yen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Han Pei; Fu-Min Wang; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:06Z A fully model-based methodology for simultaneously correcting EUV mask shadowing and optical proximity effects with improved pattern transfer fidelity and process windows Philip C. W. Ng;Kuen-Yu Tsai;Yen-Min Lee;Ting-Han Pei;Fu-Min Wang;Jia-Han Li;Alek C. Chen; Philip C. W. Ng; Kuen-Yu Tsai; Yen-Min Lee; Ting-Han Pei; Fu-Min Wang; Jia-Han Li; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T07:42:06Z A fully model-based methodology for simultaneously correcting EUV mask shadowing and optical proximity effects with improved pattern transfer fidelity and process windows Philip C. W. Ng;Kuen-Yu Tsai;Yen-Min Lee;Ting-Han Pei;Fu-Min Wang;Jia-Han Li;Alek C. Chen; Philip C. W. Ng; Kuen-Yu Tsai; Yen-Min Lee; Ting-Han Pei; Fu-Min Wang; Jia-Han Li; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2012-02 Electron-beam proximity effect model calibration for fabricating scatterometry calibration samples Chun-Hung Liu; Chih-Yu Chen; Hoi-Tou Ng; Kuen-Yu Tsai; Fu-Ming Wang; Chieh-Hsiung Kuan; Yen-Min Lee; Hsin-Hung Cheng; Jia-Han Li; Alek C. Chen; Yu-Tian Shen; KUEN-YU TSAI; CHIEH-HSIUNG KUAN et al.

Showing items 1-36 of 36  (1 Page(s) Totally)
1 
View [10|25|50] records per page