English  |  正體中文  |  简体中文  |  总笔数 :2823024  
造访人次 :  30211277    在线人数 :  940
教育部委托研究计画      计画执行:国立台湾大学图书馆
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
关于TAIR

浏览

消息

著作权

相关连结

跳至:
或输入年份:
从最近的开始 由旧到新排序

显示项目 1979281-1979330 / 2310313 (共46207页)
<< < 39581 39582 39583 39584 39585 39586 39587 39588 39589 39590 > >>
每页显示[10|25|50]项目

机构 日期 题名 作者
臺大學術典藏 2018-09-10T07:43:03Z Power Supply Noise Reduction for At-Speed Scan Testing in Linear-Decompression Environment M.-F. Wu;J.-L. Huang;X. Wen;K. Miyase; M.-F. Wu; J.-L. Huang; X. Wen; K. Miyase; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T07:43:03Z LPTest: A Flexible Low-Power Test Pattern Generator M.-F. Wu;K.-S. Hu;J.-L. Huang; M.-F. Wu; K.-S. Hu; J.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T07:43:03Z Specification back-propagation and its application to fault simulation of analog/mixed-signal circuits J. L. Huang; C. Y. Pan; K. T. (Tim) Cheng; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T07:43:03Z Characterizing Integrator Leakage of Single-Bit DS Modulator Using DC Input X.-L. Huang;Y.-C. Yu;J.-L. Huang; X.-L. Huang; Y.-C. Yu; J.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T07:43:04Z Co-Calibration of Capacitor Mismatch and Comparator Offset for 1-Bit/Stage Pipelined ADC X.-L. Huang;Yuan-Chi Yu;Jiun-Lang Huang; X.-L. Huang; Yuan-Chi Yu; Jiun-Lang Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T07:43:04Z A Low Communication Overhead and Load Balanced Parallel ATPG with Improved Static Fault Partition Method K.-W. Yeh;M.-F. Wu;J.-L. Huang; K.-W. Yeh; M.-F. Wu; J.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T07:43:04Z A Self-Testing Assisted Pipelined-ADC Calibration Technique J.-L. Huang;X.-L. Huang;P.-Y. Kang; J.-L. Huang; X.-L. Huang; P.-Y. Kang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T07:43:04Z An On-Chip Integrator Leakage Characterization Technique and Its Applications to Switched Capacitor Circuits Testing C.-Y. Yang;X.-L. Huang;J.-L. Huang; C.-Y. Yang; X.-L. Huang; J.-L. Huang; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T07:43:04Z Analog-to-Digital Converter Jiun-Lang Huang;Jui-Jer Huang;Chuan-Che Lee; Jiun-Lang Huang; Jui-Jer Huang; Chuan-Che Lee; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T07:43:04Z MMICs in the millimeter-wave regime H. Wang;K.-Y. Lin;Z.-M. Tasi;L.-H. Lu;H.-C. Lu;C.-H. Wang;J.-H. Tsai;T.-W. Huang;Y.-C. Lin; H. Wang; K.-Y. Lin; Z.-M. Tasi; L.-H. Lu; H.-C. Lu; C.-H. Wang; J.-H. Tsai; T.-W. Huang; Y.-C. Lin; LIANG-HUNG LU
臺大學術典藏 2018-09-10T07:43:05Z A 40-GHz low-noise amplifier with a positive-feedback network in 0.18-μm CMOS H.-H. Hsieh;L.-H. Lu; H.-H. Hsieh; L.-H. Lu; LIANG-HUNG LU
臺大學術典藏 2018-09-10T07:43:05Z A 10 GHz phase-locked loop with a compact low-pass filter in 0.18 μm CMOS S.-J. Li;H.-H. Hsieh;L.-H. Lu; S.-J. Li; H.-H. Hsieh; L.-H. Lu; LIANG-HUNG LU
臺大學術典藏 2018-09-10T07:43:05Z A 0.6 V low-power wide-range delay-locked loop in 0.18 µm CMOS C.-T. Lu;H.-H. Hsieh;L.-H. Lu; C.-T. Lu; H.-H. Hsieh; L.-H. Lu; LIANG-HUNG LU
臺大學術典藏 2018-09-10T07:43:05Z An experimental ultra-low-voltage demodulator in 0.18 µm CMOS L.-S. Lai;H.-H. Hsieh;P.-S. Weng;L.-H. Lu; L.-S. Lai; H.-H. Hsieh; P.-S. Weng; L.-H. Lu; LIANG-HUNG LU
臺大學術典藏 2018-09-10T07:43:05Z Small- and large-signal operation of X-band CE and CB SiGe/Si power HBT’s J.-S. Rieh; L.-H. Lu; Z. Ma; X. Liu; P. B. K. Katehi; P. Bhattacharya; E. T. Croke; LIANG-HUNG LU
臺大學術典藏 2018-09-10T07:43:05Z A Low-Jitter 8GHz to 10GHz Distributed DLL for Multiple-Phase Clock Generation K-J Hsian;Tai-Cheng Lee; K-J Hsian; Tai-Cheng Lee; TAI-CHENG LEE
臺大學術典藏 2018-09-10T07:43:06Z Time-space test response compaction and diagnosis based on BCH codes F. M. Wang;W.-C. Wang;J. C-M. Li; F. M. Wang; W.-C. Wang; J. C-M. Li; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:43:06Z Transition Fault Diagnosis Using At-speed Test Patterns Shang-Feng Chao;Jheng-Yang Ciou;James Chien-Mo Li; Shang-Feng Chao; Jheng-Yang Ciou; James Chien-Mo Li; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:43:06Z Bridging Fault Diagnosis to Identify the Layer of Systematic Defects B. R. Chen;J. C.M. Li; B. R. Chen; J. C.M. Li; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:43:07Z Very-Low-Voltage Testing of Amorphous Silicon TFT Circuits Shiue-Tsung Shen,;Wei-Hsiao Liu,;En-Hua Ma,;J. C.-M. Li,;I-Chun Cheng,; Shiue-Tsung Shen,; Wei-Hsiao Liu,; En-Hua Ma,; J. C.-M. Li,; I-Chun Cheng,; I-CHUN CHENG; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:43:07Z Power Scan: DFT for Power Switches in VLSI Designs B. C. Bai; B. C. Bai; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:43:08Z Fault Modeling and Testing of Retention Flip-Flops in Low Power Designs B. C. Bai;A. K Li;J. C.M. Li;K. C. Wu; B. C. Bai; A. K Li; J. C.M. Li; K. C. Wu; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:43:08Z BIST Design Optimization for Large-Scale Embedded Memory Cores T.-F. Chien;W.-C. Chao;J. C.-M. Li;K.-Y. Liao;Y.-W. Chang;M.-T. Chang;M.-H. Tsai;C.-M. Tseng; T.-F. Chien; W.-C. Chao; J. C.-M. Li; K.-Y. Liao; Y.-W. Chang; M.-T. Chang; M.-H. Tsai; C.-M. Tseng; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:43:08Z Electronic Design Automation J. C.-M. Li;M. Hsiao; J. C.-M. Li; M. Hsiao; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:43:08Z 包含未知訊號之測試結果壓縮設計 王偉哲;李建模; 王偉哲 李建模; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:43:08Z Fast and Exact Simultaneous Gate and Wire Sizing by Lagrangian Relaxation Chung-Ping Chen; Chris C. N. Chu; D. F. Wong; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T07:43:08Z Noise-Aware Repeater Insertion and Wire-Sizing for On-chip Interconnect Using Hierarchical Moment-Matching Chung-Ping Chen; N. Menezes; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T07:43:08Z Error-bounded Pade Approximation via Bilinear Conformal Transformation Chung-Ping Chen; D.F. Wong; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T07:43:09Z Spec-based Repeater Insertion and Wire-Sizing for On-chip Interconnect N. Menezes; Chung-Ping Chen; CHUNG-PING CHEN
臺大學術典藏 2018-09-10T07:43:09Z Dynamic Current-Matching Charge Pump and Gated-Offset Linearization Technique for Delta-Sigma Fractional-N PLLs T.-H. Lin;C.-L. Ti;Y.-H. Liu; T.-H. Lin; C.-L. Ti; Y.-H. Liu; Lin, Tsung-Hsien
臺大學術典藏 2018-09-10T07:43:09Z A 200-pJ/b MUX-based RF Transmitter for Implantable Multi-Channel Neural Recording Y.-H. Liu;C.-L. Li;T.-H. Lin; Y.-H. Liu; C.-L. Li; T.-H. Lin; TSUNG-HSIEN LIN
臺大學術典藏 2018-09-10T07:43:09Z Wireless Integrated Network Sensors (WINS) TSUNG-HSIEN LIN; G. Asada; I. Bhatti; T.-H. Lin; S. Natkunanthanan; F. Newberg; R. Rofougaran; A. Sipos; S. Valoff; G. J. Pottie; W. J. Kaiser
臺大學術典藏 2018-09-10T07:43:09Z A Low-Power VLSI Neural Processor Design for Image Data Compression in a SOI CMOS Technology W. Fang; E. Lin; TSUNG-HSIEN LIN
臺大學術典藏 2018-09-10T07:43:10Z A Super-Regenerative ASK Receiver with Delta-Sigma Pulse-width Digitizer and SAR-based Fast Frequency Calibration for MICS Applications Y.-H. Liu;H.-H. Liu;T.-H. Lin; Y.-H. Liu; H.-H. Liu; T.-H. Lin; TSUNG-HSIEN LIN
臺大學術典藏 2018-09-10T07:43:10Z A 5GHz Phase-Locked Loop Using Dynamic Phase-Error Compensation Technique for Fast Settling in 0.18-μm CMOS W.-H. Chiu;Y.-H. Huang;T.-H. Lin; W.-H. Chiu; Y.-H. Huang; T.-H. Lin; TSUNG-HSIEN LIN
臺大學術典藏 2018-09-10T07:43:10Z A Time-Domain-Based Self-Calibrated Analog-to-Digital Converter With A Linear Voltage-to-Delay Circuit in 0.18-μm CMOS C.-H. Yang;W.-H. Chiu;T.-H. Lin; C.-H. Yang; W.-H. Chiu; T.-H. Lin; TSUNG-HSIEN LIN
臺大學術典藏 2018-09-10T07:43:10Z A charge pump current missmatch calibration technique for ΔΣ fractional-N PLLs in 0.18-μm CMOS W.-H. Chiu;T.-S. Chang;T.-H. Lin; W.-H. Chiu; T.-S. Chang; T.-H. Lin; TSUNG-HSIEN LIN
臺大學術典藏 2018-09-10T07:43:10Z Delta sigma modulator and method for compensating delta sigma modulators for loop delay Chan-Hsiang Weng;Tsung-Hsien Lin; Chan-Hsiang Weng; Tsung-Hsien Lin; TSUNG-HSIEN LIN
臺大學術典藏 2018-09-10T07:43:10Z Scalable Don't Care Based Logic Optimization and Resynthesis Alan Mishchenko;Robert K. Brayton;Jie-Hong R. Jiang;Stephen Jang; Alan Mishchenko; Robert K. Brayton; Jie-Hong R. Jiang; Stephen Jang; JIE-HONG JIANG
臺大學術典藏 2018-09-10T07:43:10Z A 400-MHz/900-MHz/2.4-GHz Multi-band FSK Transmitter in 0.18-μm CMOS K.-C. Liao;P.-S. Huang;W.-H. Chiu;T.-H. Lin; K.-C. Liao; P.-S. Huang; W.-H. Chiu; T.-H. Lin; TSUNG-HSIEN LIN
臺大學術典藏 2018-09-10T07:43:11Z Quantifier Elimination via Functional Composition Jie-Hong R. Jiang; Jie-Hong R. Jiang; JIE-HONG JIANG
臺大學術典藏 2018-09-10T07:43:11Z Symmetrization in Digital Circuit Optimization JIE-HONG JIANG; Nina Yevtushenko; Natalia Eliseeva;Jie-Hong R. Jiang;Natalia Kushik;Nina Yevtushenko; Natalia Eliseeva; Jie-Hong R. Jiang; Natalia Kushik
臺大學術典藏 2018-09-10T07:43:11Z Interpolating Functions from Large Boolean Relations Jie-Hong R. Jiang;Hsuan-Po Lin;Wei-Lun Hung; Jie-Hong R. Jiang; Hsuan-Po Lin; Wei-Lun Hung; JIE-HONG JIANG
臺大學術典藏 2018-09-10T07:43:11Z Logic Synthesis in a Nutshell Jie-Hong R. Jiang;Srinivas Devadas; Jie-Hong R. Jiang; Srinivas Devadas; JIE-HONG JIANG
臺大學術典藏 2018-09-10T07:43:11Z Asynchronous Computing in Sense Amplifier-based Pass Transistor Logic T.-T. Liu, L. Alarcón, M. Pierson,;J. Rabaey; T.-T. Liu, L. Alarcó; n, M. Pierson,; J. Rabaey; TSUNG-TE LIU
臺大學術典藏 2018-09-10T07:43:12Z Commit Protocol for Lower-Powered Mobile Clients Yen-Wen Lin; Hsiao-Kuang Wu; Feipei Lai; FEI-PEI LAI
臺大學術典藏 2018-09-10T07:43:12Z Multiple branch Prediction for Wide-Issue Superscalar Shu-Lin Hwang; Che-Chun Chen; Feipei Lai; FEI-PEI LAI
臺大學術典藏 2018-09-10T07:43:12Z Cryptographic key assignment scheme for dynamic access control in a user hierarchy Kuo, F.H.; Shen, V.R.L.; Chen, T.S.; Lai, F.; FEI-PEI LAI
臺大學術典藏 2018-09-10T07:43:12Z FACE: Fine-tuned Architecture Codesign Environment for ASIP Development I-Horng Jeng, Feipei Lai; Yuh-Dar Tseng; FEI-PEI LAI
臺大學術典藏 2018-09-10T07:43:12Z Secure and Efficient Group Key Management with Shared Key Derivation J. C. Lin,;K. H. Huang,;F. P. Lai,;H. C. Lee,; J. C. Lin,; K. H. Huang,; F. P. Lai,; H. C. Lee,; FEI-PEI LAI

显示项目 1979281-1979330 / 2310313 (共46207页)
<< < 39581 39582 39583 39584 39585 39586 39587 39588 39589 39590 > >>
每页显示[10|25|50]项目