English  |  正體中文  |  简体中文  |  2809530  
???header.visitor??? :  27012704    ???header.onlineuser??? :  1299
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

???jsp.browse.items-by-date.jump???
???jsp.browse.items-by-date.type???
???jsp.browse.items-by-date.recent??? ???jsp.browse.items-by-date.order2???

Showing items 1985301-1985325 of 2303456  (92139 Page(s) Totally)
<< < 79408 79409 79410 79411 79412 79413 79414 79415 79416 79417 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2018-09-10T08:18:18Z Improved Spoken Term Detection by Discriminative Training of Acoustic Models based on User Relevance Feedback Hung-Yi Lee;Chia-Ping Chen;Ching-Feng Yeh;Lin-shan Lee; Hung-Yi Lee; Chia-Ping Chen; Ching-Feng Yeh; Lin-shan Lee; LIN-SHAN LEE
臺大學術典藏 2018-09-10T08:18:18Z Improved Spoken Term Detection by Feature Space Pseudo-Relevance Feedback Chia-Ping Chen;Hung-Yi Lee;Ching-Feng Yeh;Lin-shan Lee; Chia-Ping Chen; Hung-Yi Lee; Ching-Feng Yeh; Lin-shan Lee; LIN-SHAN LEE
臺大學術典藏 2018-09-10T08:18:19Z Mandarin Tone Recognition using Affine Invariant Prosodic Feature and Tone Posteriorgram Yow-Bang Wang;Lin-shan Lee; Yow-Bang Wang; Lin-shan Lee; LIN-SHAN LEE
臺大學術典藏 2018-09-10T08:18:19Z An Integrated Framework for Transcribing Mandarin-English Code-mixed Lectures with Improved Acoustic and Language Modeling Ching-Feng Yeh;Chao-Yu Huang;Liang-Che Sun;Lin-shan Lee; Ching-Feng Yeh; Chao-Yu Huang; Liang-Che Sun; Lin-shan Lee; LIN-SHAN LEE
臺大學術典藏 2018-09-10T08:18:19Z Automatic Key Term Extraction From Spoken Course Lectures Using Branching Entropy and Prosodic/Semantic Features Yun-Nung Chen;Yu Huang;Sheng-Yi Kong;Lin-shan Lee; Yun-Nung Chen; Yu Huang; Sheng-Yi Kong; Lin-shan Lee; LIN-SHAN LEE
臺大學術典藏 2018-09-10T08:18:19Z A Framework Integrating Different Relevance Feedback Scenarios and Approaches For Spoken Term Detection Hung-Yi Lee;Chia-Ping Chen;Ching-Feng Yeh;Lin-shan Lee; Hung-Yi Lee; Chia-Ping Chen; Ching-Feng Yeh; Lin-shan Lee; HUNG-YI LEE; LIN-SHAN LEE
臺大學術典藏 2018-09-10T08:18:19Z Manufacturability analysis of a micro-electro-mechanical systems–based electron-optical system design for direct-write lithography Sheng-Yung Chen;Shin-Chuan Chen;Hsing-Hong Chen;Kuen-Yu Tsai;Hsin-Hung Pan; Sheng-Yung Chen; Shin-Chuan Chen; Hsing-Hong Chen; Kuen-Yu Tsai; Hsin-Hung Pan; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:20Z Fresnel zone plate manufacturability analysis for direct-write lithography by simulating focusing and patterning performance versus fabrication errors Kuen-Yu Tsai; Sheng-Yung Chen; Ting-Han Pei; Jia-Han Li; KUEN-YU TSAI; Kuen-Yu Tsai;Sheng-Yung Chen;Ting-Han Pei;Jia-Han Li
臺大學術典藏 2018-09-10T08:18:20Z Stochastic simulation of photon propagation in Si for extreme-ultraviolet mask-defect inspection Pei, Ting-Hang;Tsai, Kuen-Yu;Li, Jia-Han; Pei, Ting-Hang; Tsai, Kuen-Yu; Li, Jia-Han; Tsai, Kuen-Yu; Li, Jia-Han; Cheng, I-Chun
臺大學術典藏 2018-09-10T08:18:20Z Efficient scattering simulations for equivalent extreme ultraviolet mask multilayer structures by modified transmission line theory and finite-difference time-domain method Yen-Min Lee;Jia-Han Li;Philip C. W. Ng;Ting-Hang Pei;Fu-Min Wang;Kuen-Yu Tsai;Alek C. Chen; Yen-Min Lee; Jia-Han Li; Philip C. W. Ng; Ting-Hang Pei; Fu-Min Wang; Kuen-Yu Tsai; Alek C. Chen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:20Z A new method to improve accuracy of parasitics extraction considering sub-wavelength lithography effects Kuen-Yu Tsai;Wei-Jhih Hsieh;Yuan-Ching Lu;Bo-Sen Chang;Sheng-Wei Chien;Yi-Chang Lu; Kuen-Yu Tsai; Wei-Jhih Hsieh; Yuan-Ching Lu; Bo-Sen Chang; Sheng-Wei Chien; Yi-Chang Lu; YI-CHANG LU; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:21Z Stochastic simulation of photon scattering for EUV mask defect inspection Ting-Hang Pei;Kuen-Yu Tsai;Jia-Han Li; Ting-Hang Pei; Kuen-Yu Tsai; Jia-Han Li; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:21Z Model-based proximity effect correction for electron-beam direct-write lithography Yu-Tian Shen; Kuen-Yu Tsai; KUEN-YU TSAI; Chun-Hung Liu;Pei-Lin Tien;Philip C. W. Ng;Yu-Tian Shen;Kuen-Yu Tsai; Chun-Hung Liu; Pei-Lin Tien; Philip C. W. Ng
臺大學術典藏 2018-09-10T08:18:21Z A non-delta-chrome OPC methodology for process models with three-dimensional mask effects Philip C. W. Ng;Kuen-Yu Tsai;Chih-Hsien Tang;Lawrence S. Melvin III; Philip C. W. Ng; Kuen-Yu Tsai; Chih-Hsien Tang; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:21Z Analysis of fabrication misalignment effects in a MEMS-based electron-optical system design for direct-write lithography Sheng-Yung Chen;Chieh-Chien Huang;Shin-Chuan Chen;Ting-Han Pei;Kuen-Yu Tsai; Sheng-Yung Chen; Chieh-Chien Huang; Shin-Chuan Chen; Ting-Han Pei; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z Beam drift detection using a two-dimensional electron beam position monitor system for multiple-electron-beam–direct-write lithography Sheng-Yung Chen;Kuen-Yu Tsai;Hoi-Tou Ng;Chi-Hsiung Fan;Ting-Han Pei;Chieh-Hsiung Kuan;Yung-Yaw Chen;Yi-Hung Kuo;Cheng-Ju Wu;Jia-Yush Yen; Sheng-Yung Chen; Kuen-Yu Tsai; Hoi-Tou Ng; Chi-Hsiung Fan; Ting-Han Pei; Chieh-Hsiung Kuan; Yung-Yaw Chen; Yi-Hung Kuo; Cheng-Ju Wu; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z Impacts of point spread function calibration methods on model-based proximity effect correction for electron-beam-direct-write lithography Chun-Hung Liu;Philip Ng;Yu-Tian Shen;Hoi-Tou Ng;Kuen-Yu Tsai; Chun-Hung Liu; Philip Ng; Yu-Tian Shen; Hoi-Tou Ng; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z 10 nm lines with 14 nm half pitch grating written in HSQ by electron beam direct write at 5 keV Fu-Min Wang;Susumu Ono;Hsin-Hung Su;Kuen-Yu Tsai;Chieh-Hsiung Kuan; Fu-Min Wang; Susumu Ono; Hsin-Hung Su; Kuen-Yu Tsai; Chieh-Hsiung Kuan; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:22Z A non-delta-chrome OPC methodology for nonlinear process models Philip C. W. Ng;Kuen-Yu Tsai;Lawrence S. Melvin III; Philip C. W. Ng; Kuen-Yu Tsai; Lawrence S. Melvin III; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:25Z High spatial resolution and large field intensity by a set of two modified zone plates Zhan-Yu Liu;Yao-Jen Tsai;Jia-Han Li;Kuen-Yu Tsai; Zhan-Yu Liu; Yao-Jen Tsai; Jia-Han Li; Kuen-Yu Tsai; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Impact of process effects correction strategies on critical dimension and electrical characteristics variabilities in extreme ultraviolet lithography Philip C. W. Ng;Sheng-Wei Chien;Bo-Sen Chang;Kuen-Yu Tsai;Yi-Chang Lu; Philip C. W. Ng; Sheng-Wei Chien; Bo-Sen Chang; Kuen-Yu Tsai; Yi-Chang Lu; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Fabrication of metrology test structures with programmed line edge roughness using electron beam direct write Fu-Min Wang;Kuen-Yu Tsai;Jia-Han Li;Alek C. Chen;Yen-Min Lee;Yu-Tian Shen;Hsin-Hung Cheng;Chieh-Hsiang Kuan; Fu-Min Wang; Kuen-Yu Tsai; Jia-Han Li; Alek C. Chen; Yen-Min Lee; Yu-Tian Shen; Hsin-Hung Cheng; Chieh-Hsiang Kuan; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Iterative finite-difference method for analyzing fabrication errors of lens-misaligned electron-beam direct-write lithography system Yen-Min Lee;Jia-Han Li;Sheng-Yung Chen;Shiau-Yi Ma;Kuen-Yu Tsai;Tony W. H. Sheu;Jia-Yush Yen; Yen-Min Lee; Jia-Han Li; Sheng-Yung Chen; Shiau-Yi Ma; Kuen-Yu Tsai; Tony W. H. Sheu; Jia-Yush Yen; KUEN-YU TSAI
臺大學術典藏 2018-09-10T08:18:26Z Cooperative and Opportunistic Channel Access for Vehicle to Roadside (V2R) Communications Ming-Fong Jhang;Wanjiun Liao; Ming-Fong Jhang; Wanjiun Liao; WANJIUN LIAO
臺大學術典藏 2018-09-10T08:18:27Z Minimum power multicast algorithms for wireless networks with a Lagrangian relaxation approach Yean-Fu Wen;Wanjiun Liao; Yean-Fu Wen; Wanjiun Liao; WANJIUN LIAO

Showing items 1985301-1985325 of 2303456  (92139 Page(s) Totally)
<< < 79408 79409 79410 79411 79412 79413 79414 79415 79416 79417 > >>
View [10|25|50] records per page