English  |  正體中文  |  简体中文  |  2809530  
???header.visitor??? :  27008279    ???header.onlineuser??? :  557
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"jiang iris hui ru"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 1-25 of 92  (4 Page(s) Totally)
1 2 3 4 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2020-06-16T06:31:36Z Simultaneous functional and timing ECO. Chang, Hua-Yu;Jiang, Iris Hui-Ru;Chang, Yao-Wen; Chang, Hua-Yu; Jiang, Iris Hui-Ru; Chang, Yao-Wen; YAO-WEN CHANG
臺大學術典藏 2020-06-11T06:12:55Z Simultaneous functional and timing ECO. Chang, Hua-Yu;Jiang, Iris Hui-Ru;Chang, Yao-Wen; Chang, Hua-Yu; Jiang, Iris Hui-Ru; Chang, Yao-Wen; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:54Z Matching-based minimum-cost spare cell selection for design changes. Jiang, Iris Hui-Ru;Chang, Hua-Yu;Chang, Liang-Gi;Hung, Huang-Bi; Jiang, Iris Hui-Ru; Chang, Hua-Yu; Chang, Liang-Gi; Hung, Huang-Bi; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:49Z Timing Macro Modeling for Efficient Hierarchical Timing Analysis. Jiang, Iris Hui-Ru;Lee, Pei-Yu; Jiang, Iris Hui-Ru; Lee, Pei-Yu; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:47Z The overview of 2014 CAD contest at ICCAD. Jiang, Iris Hui-Ru;Viswanathan, Natarajan;Chen, Tai-Chen;Li, Jin-Fu; Jiang, Iris Hui-Ru; Viswanathan, Natarajan; Chen, Tai-Chen; Li, Jin-Fu; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:46Z The overview of 2013 CAD contest at ICCAD. Jiang, Iris Hui-Ru;Li, Zhuo;Wang, Hwei-Tseng;Viswanathan, Natarajan; Jiang, Iris Hui-Ru; Li, Zhuo; Wang, Hwei-Tseng; Viswanathan, Natarajan; HUI-RU JIANG
國立交通大學 2020-05-05T00:02:00Z DATC RDF-2019: Towards a Complete Academic Reference Design Flow Lin, Shih-Ting; Woo, Mingyu; Li, Yih-Lang; Jiang, Iris Hui-Ru; Jung, Jinwook; Kahng, Andrew B.; Kravets, Victor N.; Chen, Jianli
臺大學術典藏 2020-05-04T07:53:49Z Graph-Based Modeling, Scheduling, and Verification for Intersection Management of Intelligent Vehicles. Lin, Chung-Wei; Jiang, Iris Hui-Ru; Liu, Changliu; CHUNG-WEI LIN; Lin, Shang-Chien; Hsu, Hsiang; Lin, Yi-Ting; Lin, Yi-Ting;Hsu, Hsiang;Lin, Shang-Chien;Lin, Chung-Wei;Jiang, Iris Hui-Ru;Liu, Changliu
國立交通大學 2019-12-13T01:12:53Z DATC RDF: An Academic Flow from Logic Synthesis to Detailed Routing Jung, Jinwook; Jiang, Iris Hui-Ru; Chen, Jianli; Lin, Shih-Ting; Li, Yih-Lang; Kravets, Victor N.; Nam, Gi-Joon
國立交通大學 2019-08-02T02:14:47Z Graceful Register Clustering by Effective Mean Shift Algorithm for Power and Timing Balancing Chang, Ya-Chu; Lin, Tung-Wei; Jiang, Iris Hui-Ru; Nam, Gi-Joon
國立交通大學 2019-04-02T06:04:30Z Timing Macro Modeling for Efficient Hierarchical Timing Analysis Jiang, Iris Hui-Ru; Lee, Pei-Yu
國立交通大學 2019-04-02T06:00:44Z iTimerM: A Compact and Accurate Timing Macro Model for Efficient Hierarchical Timing Analysis Lee, Pei-Yu; Jiang, Iris Hui-Ru
國立交通大學 2019-01-01 Efficient Search of Layout Hotspot Patterns for Matching SEM Images using Multilevel Pixelation Chang, Wei-Chun; Jiang, Iris Hui-Ru; Zhu, Jun; Shiely, James P.; Tseng, Sean Shang-En
臺大學術典藏 2018-09-10T07:36:58Z Clustering- and probability-based approach for time-multiplexed FPGA partitioning Chang, Yao-Wen; YAO-WEN CHANG; Jiang, Iris Hui-Ru; Chao, Mango Chia-Tso; Wu, Guang-Ming
臺大學術典藏 2018-09-10T03:29:38Z Crosstalk-driven interconnect optimization by simultaneous gate and wire sizing Jiang, Iris Hui-Ru; Chang, Yao-Wen; Jou, Jing-Yang; YAO-WEN CHANG
臺大學術典藏 2018-09-10T03:29:37Z Optimal reliable crosstalk-driven interconnect optimization Jiang, Iris Hui-Ru; Pan, Song-Ra; Chang, Yao-Wen; Jou, Jing-Yang; YAO-WEN CHANG
國立交通大學 2018-08-21T05:57:09Z FastPass: Fast Timing Path Search for Generalized Timing Exception Handling Lee, Pei-Yu; Jiang, Iris Hui-Ru; Chen, Tung-Chieh
國立交通大學 2018-08-21T05:57:00Z Power and Area Efficient Hold Time Fixing by Free Metal Segment Allocation Chiu, Wei-Lun; Jiang, Iris Hui-Ru; Lu, Chien-Pang; Chang, Yu-Tung
國立交通大學 2018-08-21T05:57:00Z iClaire: A Fast and General Layout Pattern Classification Algorithm Chang, Wei-Chun; Jiang, Iris Hui-Ru; Yu, Yen-Ting; Liu, Wei-Fang
國立交通大學 2018-08-21T05:56:59Z DATC RDF: Robust Design Flow Database Jung, Jinwook; Lee, Pei-Yu; Wu, Yan-Shiun; Darav, Nima Karimpour; Jiang, Iris Hui-Ru; Kravets, Victor N.; Behjat, Laleh; Li, Yih-Lang; Nam, Gi-Joon
國立交通大學 2018-08-21T05:56:49Z Fast Low Power Rule Checking for Multiple Power Domain Design Lu, Chien-Pang; Jiang, Iris Hui-Ru
國立交通大學 2018-08-21T05:56:32Z Simultaneous Functional and Timing ECO Chang, Hua-Yu; Jiang, Iris Hui-Ru; Chang, Yao-Wen
國立交通大學 2017-04-21T06:55:43Z Analytical Clustering Score with Application to Postplacement Register Clustering Xu, Chang; Luo, Guojie; Li, Peixin; Shi, Yiyu; Jiang, Iris Hui-Ru
國立交通大學 2017-04-21T06:50:17Z Multiple Patterning Layout Decomposition Considering Complex Coloring Rules Chang, Hua-Yu; Jiang, Iris Hui-Ru
國立交通大學 2017-04-21T06:50:17Z Multiple Patterning Layout Decomposition Considering Complex Coloring Rules Chang, Hua-Yu; Jiang, Iris Hui-Ru

Showing items 1-25 of 92  (4 Page(s) Totally)
1 2 3 4 > >>
View [10|25|50] records per page