English  |  正體中文  |  简体中文  |  2817890  
???header.visitor??? :  27950141    ???header.onlineuser??? :  315
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"li j c m"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 21-40 of 40  (1 Page(s) Totally)
1 
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2019-04-22T05:22:34Z Efficient multi-layer obstacle-avoiding region-to-region rectilinear steiner tree construction Jiang, J.-H.R.;Li, J.C.M.;Pai, Y.-C.;Wen, H.-T.;Wang, J.-J.;Pai, C.-C.;Wang, R.-Y.;Chang, Y.-W.; Wang, R.-Y.; Pai, C.-C.; Wang, J.-J.; Wen, H.-T.; Pai, Y.-C.; Chang, Y.-W.; Li, J.C.M.; Jiang, J.-H.R.
臺大學術典藏 2018-09-10T08:34:44Z An accurate timing-aware diagnosis algorithm for multiple small delay defects Chen, P.-J.;Hsu, W.-L.;Li, J.C.-M.;Tseng, N.-H.;Chen, K.-Y.;Changchien, W.-P.;Liu, C.C.C.; Chen, P.-J.; Hsu, W.-L.; Li, J.C.-M.; Tseng, N.-H.; Chen, K.-Y.; Changchien, W.-P.; Liu, C.C.C.; WEI-LI HSU; CHIEN-MO LI
臺大學術典藏 2018-09-10T08:19:10Z CSER: BISER-based concurrent soft-error resilience Laung-Terng Wang;Touba, N.A.;Zhigang Jiang;Shianling Wu;Jiun-Lang Huang;Li, J.C.-M.; Laung-Terng Wang; Touba, N.A.; Zhigang Jiang; Shianling Wu; Jiun-Lang Huang; Li, J.C.-M.; CHIEN-MO LI; JIUN-LANG HUANG
臺大學術典藏 2018-09-10T08:19:10Z Static timing analysis for flexible TFT circuits Chao-Hsuan Hsu;Liu, C.;En-Hua Ma;Li, J.C.-M.; Chao-Hsuan Hsu; Liu, C.; En-Hua Ma; Li, J.C.-M.; CHIEN-MO LI
臺大學術典藏 2018-09-10T08:14:25Z Reliability screening of a-Si TFT circuits: Very-low voltage and I DDQ Testing Shen, S.-T.;Liu, C.;Ma, E.-H.;Cheng, I.-C.;Li, J.C.-M.; Shen, S.-T.; Liu, C.; Ma, E.-H.; Cheng, I.-C.; Li, J.C.-M.; I-CHUN CHENG; CHIEN-MO LI
臺大學術典藏 2018-09-10T07:36:19Z Very-low-voltage testing of amorphous silicon TFT circuits Shen, S.-T.;Liu, W.-H.;Ma, E.-H.;Li, J.C.-M.;Cheng, I.-C.; Shen, S.-T.; Liu, W.-H.; Ma, E.-H.; Li, J.C.-M.; Cheng, I.-C.; I-CHUN CHENG
臺大學術典藏 2018-09-10T05:29:21Z Diagnosis of Single stuck-at Faults and Multiple Timing Faults in Scan Chains Li, J. C.-M.; CHIEN-MO LI
臺大學術典藏 2018-09-10T05:29:21Z Diagnosis of Resistive and Stuck-open Defects in Digital CMOS IC Li, J. C.-M.; E. J. McCluskey; CHIEN-MO LI
臺大學術典藏 2018-09-10T05:29:21Z Diagnosis of Multiple Hold-time and Setup-time Faults in Scan Chains Li, J. C. M.; CHIEN-MO LI
臺大學術典藏 2018-09-10T04:59:51Z A Design for Testability Technique for Low Power Delay Fault Testing Li, J. C. M.; CHIEN-MO LI
臺大學術典藏 2018-09-10T04:15:41Z Diagnosis for Sequence Dependent Chips Li, J. C.M.; E. J. McCluskey; CHIEN-MO LI
臺大學術典藏 2018-09-10T03:50:57Z Testing for Resistive and Stuck Opens Li, J. C.M.; Tseng, C.W.; E.J. McCluskey; CHIEN-MO LI
臺大學術典藏 2018-09-10T03:50:57Z Diagnosis of Tunneling Opens Li, J. C.M.; E.J. McCluskey; CHIEN-MO LI
國立臺灣大學 2010 DFT and Minimum Leakage Pattern Generation for Static Power Reduction During Test and Burn-in Kao, Wei-Chung; Chuang, Wei-Shun; Lin, Hsiu-Ting; Li, J.C.-M.; Manquinho, V.
國立臺灣大學 2009 Time-space test response compaction and diagnosis based on BCH codes Wang, F.-M.; Wang, W.-C.; Li, J.C.-M.
國立臺灣大學 2008 Simultaneous capture and shift power reduction test pattern generator for scan testing Lin, H.-T.; Li, J.C.-M.
國立臺灣大學 2008 Effective and Economic Phase Noise Testing for Single-Chip TV Tuners Li, J. C.-M.; Lin, P.-C.; Chiang, P.-C.; Pan, C.-M.; Tseng, C.W.
國立臺灣大學 2008 Survey of Scan Chain Diagnosis Huang, Y.; Guo, R; Cheng, W.T.; Li, J. C.-M.
國立臺灣大學 2005-11 Column parity and row selection (CPRS): a BIST diagnosis technique for multiple errors in multiple scan chains Lin, Hung-Mao; Li, J.C.M.
國立臺灣大學 2005-05 Jump scan: a DFT technique for low power testing Chiu, Min-Hao; Li, J.C.M.

Showing items 21-40 of 40  (1 Page(s) Totally)
1 
View [10|25|50] records per page