English  |  正體中文  |  简体中文  |  Total items :2822924  
Visitors :  30076888    Online Users :  939
Project Commissioned by the Ministry of Education
Project Executed by National Taiwan University Library
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
About TAIR

Browse By

News

Copyright

Related Links

Jump to: [ Chinese Items ] [ 0-9 ] [ A B C D E F G H I J K L M N O P Q R S T U V W X Y Z ]
or enter the first few letters:   

Showing items 374946-374970 of 2310013  (92401 Page(s) Totally)
<< < 14993 14994 14995 14996 14997 14998 14999 15000 15001 15002 > >>
View [10|25|50] records per page

Institution Date Title Author
國立中山大學 2002 Electrical characteristics of ultrathin Pt/Y2O3/Si capacitor with rapid post-metallization annealing T.S. Lay;W.D. Liu;J. Kwo;M. Hong;J.P. Mannaerts
臺大學術典藏 2019-12-27T07:49:53Z Electrical characteristics of ultrathin Pt/Y2O3/Si capacitor with rapid post-metallisation annealing Lay, T.S.;Liu, W.D.;Kwo, J.;Hong, M.;Mannaerts, J.P.; Lay, T.S.; Liu, W.D.; Kwo, J.; Hong, M.; Mannaerts, J.P.; MINGHWEI HONG
國立交通大學 2014-12-08T15:04:21Z ELECTRICAL CHARACTERISTICS OF WO3-BASED CO2-SENSITIVE SOLID-STATE MICROSENSOR CHAO, S
國立交通大學 2014-12-08T15:32:15Z Electrical Characterization and Materials Stability Analysis of La2O3/HfO2 Composite Oxides on n-In0.53Ga0.47As MOS Capacitors With Different Annealing Temperatures Lin, Yueh Chin; Trinh, Hai Dang; Chuang, Ting Wei; Iwai, Hiroshi; Kakushima, Kuniyuki; Ahmet, Parhat; Lin, Chun Hsiung; Diaz, Carlos H.; Chang, Hui Chen; Jang, Simon M.; Chang, Edward Yi
國立臺灣大學 2003 Electrical Characterization and Process Control of Cost Effective High-k Aluminum Oxide Gate Dielectrics Prepared by Anodization Followed by Furnace Annealing Huang, Szu-Wei; Hwu, Jenn-Gwo
國立交通大學 2014-12-08T15:20:45Z Electrical characterization and Raman spectroscopy of individual vanadium pentoxide nanowire Shen, W. -J.; Sun, K. W.; Lee, C. S.
國立中山大學 1997 Electrical characterization and signal integrity of ball grid array packages T.S. Horng;S.M. Wu;M.J. Kuo
國立交通大學 2014-12-08T15:07:41Z Electrical Characterization and Transmission Electron Microscopy Assessment of Isolation of AlGaN/GaN High Electron Mobility Transistors with Oxygen Ion Implantation Shiu, Jin-Yu; Lu, Chung-Yu; Su, Ting-Yi; Huang, Rong-Tan; Zirath, Herbert; Rorsman, Niklas; Chang, Edward Yi
國立臺灣海洋大學 2010-02 Electrical Characterization and Transmission Electron Microscopy Assessment of Isolation of AlGaN/GaN High Electron Mobility Transistors with Oxygen Ion Implantation Jin-Yu Shiu; Chung-Yu Lu; Ting-Yi Su; R. T. Huang; Herbert Zirath; Niklas Rorsman; Edward Yi Chang
國立交通大學 2014-12-08T15:33:42Z Electrical Characterization of Al(2)O(3)/n-InAs Metal-Oxide-Semiconductor Capacitors With Various Surface Treatments Trinh, H. D.; Brammertz, G.; Chang, E. Y.; Kuo, C. I.; Lu, C. Y.; Lin, Y. C.; Nguyen, H. Q.; Wong, Y. Y.; Tran, B. T.; Kakushima, K.; Iwai, H.
國立交通大學 2014-12-08T15:46:38Z Electrical characterization of Al2O3 on Si from thermally oxidized AlAs and Al Liao, CC; Chin, A; Tsai, C
國立交通大學 2019-04-02T05:58:46Z Electrical Characterization of Al2O3/n-InAs Metal-Oxide-Semiconductor Capacitors With Various Surface Treatments Trinh, H. D.; Brammertz, G.; Chang, E. Y.; Kuo, C. I.; Lu, C. Y.; Lin, Y. C.; Nguyen, H. Q.; Wong, Y. Y.; Tran, B. T.; Kakushima, K.; Iwai, H.
臺大學術典藏 2018-09-10T04:53:28Z Electrical characterization of arsenic-ion-implanted semi-insulating GaAs by current-voltage measurement Lin, G.-R.;Chen, W.-C.;Chang, C.-S.;Pan, C.-L.; Lin, G.-R.; Chen, W.-C.; Chang, C.-S.; Pan, C.-L.; GONG-RU LIN
臺大學術典藏 2020-06-11T06:38:11Z Electrical characterization of arsenic-ion-implanted semi-insulating GaAs by current-voltage measurement Lin, G.-R.;Chen, W.-C.;Chang, C.-S.;Pan, C.-L.; Lin, G.-R.; Chen, W.-C.; Chang, C.-S.; Pan, C.-L.; GONG-RU LIN
國立交通大學 2014-12-08T15:03:39Z ELECTRICAL CHARACTERIZATION OF ARSENIC-ION-IMPLANTED SEMIINSULATING GAAS BY CURRENT-VOLTAGE MEASUREMENT LIN, GR; CHEN, WC; CHANG, CS; PAN, CL
國立交通大學 2014-12-08T15:12:24Z Electrical characterization of bathophenanthroline doped with dipotassium phthalate Hsieh, Ming-Ta; Chang, Chan-Ching; Chen, Jenn-Fang; Ho, Meng-Huan; Chen, Teng-Ming; Chen, Chao-Jung; Chen, Chin H.
義守大學 2002-12 Electrical characterization of BGA test socket for high-speed applications Ming-Kun Chen;Cheng-Chi Tai;Yu-Jung Huang;Li-Kuei Fang
義守大學 2006-10 Electrical characterization of FCBGA package based on measurement approach for high-speed SOC applications Ming-Kun Chen;Cheng-Chi Tai;Yu-Jung Huang
國立交通大學 2014-12-08T15:01:52Z Electrical characterization of fine-pitch compliant bumps Lin, C. K.; Chen, Chih; Chang, Shyh-Ming; An, Chao-Chyun; Lee, Hsiao Ting; Kao, Kuo-Shu; Tsang, Jimmy; Yang, Sheng-Shu
國立交通大學 2014-12-08T15:19:49Z Electrical characterization of fine-pitch compliant bumps Lin, C. K.; Chen, Chih; Chang, Shyh-Ming; An, Chao-Chyun; Lee, Hsiao Ting; Kao, Kuo-Shu; Tsang, Jimmy; Yang, Sheng-Shu
東海大學 2009 Electrical Characterization of High-k Anodic Aluminum Oxide Gate Dielectrics on Gallium Nitride Substrate MOS Capacitors 龔 正
國立高雄大學 2008 Electrical Characterization of Microelectromechanical Silicon Carbide Resonators 文騰,張
國立彰化師範大學 2007-11 Electrical Characterization of Ni/Au Schottky Barrier on P-type GaN Based on Transmission Line Model Lin, Yow-Jon
國立交通大學 2014-12-08T15:11:02Z Electrical characterization of organic light-emitting diodes using dipotassium phthalate as n-type dopant Ho, Meng-Huan; Hsieh, Ming-Ta; Chen, Teng-Ming; Chen, Jenn-Fang; Hwang, Shiao-Wen; Chen, Chin H.
國立臺灣科技大學 2019 Electrical characterization of RF reactive sputtered p-Mg-InxGa1-xN/n-Si Hetero-Junction Diodes without using Buffer Layer Tuan, Tuan T.T.A.;Kuo, D.-H.;Cao, P.T.;Nguyen, V.S.;Pham, Q.-P.;Nghi, V.K.;Tran, N.P.L.

Showing items 374946-374970 of 2310013  (92401 Page(s) Totally)
<< < 14993 14994 14995 14996 14997 14998 14999 15000 15001 15002 > >>
View [10|25|50] records per page